Title
Power-constrained high-frequency circuits for the IBM POWER6 microprocessor
Abstract
The IBM POWER6™ microprocessor is a high-frequency (5-G Hz) microprocessor fabricated in the IBM 65-nm silicon-on-insulator (SOI) complementary metal-oxide semiconductor (CMOS) process technology. This paper describes the circuit, physical design, clocking, timing, power, and hardware characterization challenges faced in the pursuit of this industry-leading frequency. Traditional high-power, high-frequency techniques were abandoned in favor of more-power-efficient circuit design methodologies. The hardware frequency and power characterization are reviewed.
Year
DOI
Venue
2007
10.1147/rd.516.0715
IBM Journal of Research and Development
Keywords
Field
DocType
high frequency
POWER6,IBM,Computer science,Microprocessor,Circuit design,Electronic engineering,CMOS,Physical design,Electronic circuit,Multi-core processor,Electrical engineering
Journal
Volume
Issue
ISSN
51
6
0018-8646
Citations 
PageRank 
References 
3
0.79
4
Authors
7
Name
Order
Citations
PageRank
Brian W. Curran113730.52
Eric Fluhr27815.10
Jose Paredes330.79
Leon J. Sigal44710.90
Joshua Friedrich526336.40
Yiu-Hing Chan6334.76
Charlie Hwang7233.32