Title
Leakage power-aware clock skew scheduling: Converting stolen time into leakage power reduction
Abstract
Clock skew scheduling has been traditionally considered as a tool for improving the clock period in a sequential circuit. Timing slack is "stolen" from fast combinational blocks to be used by slower blocks to meet a more stringent clock cycle time. Instead, we can leverage on the borrowed time to achieve leakage power reduction during gate sizing and/or dual Vth assignment. In this paper, we present the first approach to the best of our knowledge for integrating clock skew scheduling, threshold voltage assignment, and gate sizing into one optimization formulation. Over 29 circuits in the ISCAS89 benchmark suite, this integrated approach can reduce leakage power by as much as 55.83% and by 18.79% on average, compared to using combinational circuit based power optimization on each combinational block without considering clock skews. Using a 65 nm dual Vth technology library, this corresponds to a 23.87% peak reduction (6.15% on average) in total power at the ambient operating temperature. The average total power reduction further increases to 9.83% if the high temperature library of the same process technology is used.
Year
DOI
Venue
2008
10.1145/1391469.1391625
DAC
Keywords
Field
DocType
timing slack,optimisation,leakage power,leakage power-aware clock skew,sequential circuits,power optimization,scheduling,stolen time,vth technology library,threshold voltage assignment,average total power reduction,leakage power optimization,gate sizing,leakage power reduction,stringent clock cycle time,optimization formulation,clock period,dual-vth,clock skew scheduling,leakage power-aware clock skew scheduling,sequential circuit,clocks,combinational block,iscas89 benchmark suite,total power,logic gates,clock skew,cycle time,threshold voltage,combinational circuits,optimization,sensitivity,temperature,combinational circuit
Clock gating,Timing failure,Power optimization,Sequential logic,Computer science,Combinational logic,Electronic engineering,Real-time computing,Clock skew,Synchronous circuit,CPU multiplier
Conference
ISSN
ISBN
Citations 
0738-100X
978-1-60558-115-6
5
PageRank 
References 
Authors
0.49
8
2
Name
Order
Citations
PageRank
Min Ni1694.46
Seda Öǧrenci Memik248842.57