Name
Affiliation
Papers
SEDA ÖǦRENCI MEMIK
Northwestern University, Evanston, IL
76
Collaborators
Citations 
PageRank 
131
488
42.57
Referers 
Referees 
References 
1086
1426
814
Search Limit
1001000
Title
Citations
PageRank
Year
Thermal Management for FPGA Nodes in HPC Systems00.342020
A Low-Power, High-Speed Readout for Pixel Detectors Based on an Arbitration Tree.00.342020
A Combined Arithmetic-High-Level Synthesis Solution to Deploy Partial Carry-Save Radix-8 Booth Multipliers in Datapaths.00.342019
Machine Learning-Based Temperature Prediction for Runtime Thermal Management Across System Components.90.502018
Minimizing Thermal Variation in Heterogeneous HPC Systems with FPGA Nodes10.352018
Adaptive Thermal Management for 3D ICs with Stacked DRAM Caches.20.372017
A Partial Carry-Save On-the-fly Correction Multispeculative Multiplier30.402016
Lazy Pipelines: Enhancing quality in approximate computing.30.432016
A methodology for power characterization of associative memories20.412015
On-Chip Integration Of Thermoelectric Energy Harvesting In 3d Ics00.342015
User-specific skin temperature-aware DVFS for smartphones30.402015
Edge importance identification for energy efficient graph processing00.342015
b-HiVE: a bit-level history-based error model with value correlation for voltage-scaled integer and floating point units110.592015
Minimizing Thermal Variation Across System Components80.562015
Editorial00.342014
Improving circuit performance with multispeculative additive trees in high-level synthesis.30.412014
A fragmentation aware High-Level Synthesis flow for low power heterogenous datapaths00.342013
Exploring super-resolution implementations across multiple platforms.50.392013
Exploring the energy efficiency of Multispeculative Adders.10.362013
Theory and Analysis for Optimization of On-Chip Thermoelectric Cooling Systems20.412013
Integrating thermocouple sensors into 3D ICs30.402013
Multispeculative additive trees in high-level synthesis30.402013
Multispeculative Addition Applied to Datapath Synthesis.110.702012
A Comprehensive Tapered buffer optimization algorithm for unified design metrics10.432011
Hardware/software techniques for DRAM thermal management150.762011
Power optimization in heterogenous datapaths.00.342011
An Interior Point Optimization Solver for Real Time Inter-frame Collision Detection: Exploring Resource-Accuracy-Platform Tradeoffs40.632010
Using speculative functional units in high level synthesis50.472010
Placement and Floorplanning in Dynamically Reconfigurable FPGAs220.972010
An approach for adaptive DRAM temperature and power management40.432010
Inversed Temperature Dependence aware clock skew scheduling for sequential circuits10.372010
SACTA: a self-adjusting clock tree architecture for adapting to thermal-induced delay variation40.472010
A Fast Heuristic Algorithm for Multidomain Clock Skew Scheduling50.482010
Optimization of the bias current network for accurate on-chip thermal monitoring00.342010
A framework for optimizing thermoelectric active cooling systems80.752010
A revisit to the primal-dual based clock skew scheduling algorithm20.412010
Optimization of an on-chip active cooling system based on thin-film thermoelectric coolers70.792010
FPGA Implementation of the Interior-Point Algorithm with Applications to Collision Detection50.502009
An O(nlogn) edge-based algorithm for obstacle-avoiding rectilinear steiner tree construction50.492008
A power and temperature aware DRAM architecture110.712008
Thermal monitoring mechanisms for chip multiprocessors281.202008
An Approach for Adaptive DRAM Temperature and Power Management60.482008
Towards An "Early Neural Circuit Simulator": A Fpga Implementation Of Processing In The Rat Whisker System20.472008
Leakage power-aware clock skew scheduling: Converting stolen time into leakage power reduction50.492008
Automated design of self-adjusting pipelines20.392008
A high-level clustering algorithm targeting dual Vdd FPGAs00.342008
A novel SoC design methodology combining adaptive software and reconfigurable hardware120.642007
A self-adjusting clock tree architecture to cope with temperature variations80.612007
Early planning for clock skew scheduling during register binding40.492007
Self-heating-aware optimal wire sizing under Elmore delay model20.362007
  • 1
  • 2