Title
Hybrid MOSFET/CNFET based power gating structure.
Year
DOI
Venue
2010
10.1109/SOCC.2010.5784689
SoCC
Keywords
Field
DocType
simulation,propagation delay,carbon nanotube,threshold voltage,low voltage,power mosfet,carbon nanotubes,power dissipation
Clock gating,Power semiconductor device,Computer science,Power MOSFET,Electronic engineering,Power gating,Low voltage,Sleep mode,MOSFET,Electrical engineering,Low-power electronics
Conference
Citations 
PageRank 
References 
0
0.34
2
Authors
3
Name
Order
Citations
PageRank
Kyung Ki Kim19921.62
Haiqing Nan2556.08
Ken Choi310816.95