Title
Net-length-based routability-driven power-aware clustering
Abstract
The state-of-the-art power-aware clustering tool, P-T-VPack, achieves energy reduction by localizing nets with high switching activity at the expense of channel width and area. In this study, we employ predicted individual postplacement net length information during clustering and prioritize longer nets. This approach targets the capacitance factor for energy reduction, and prioritizes longer nets for channel width and area reduction. We first introduce a new clustering strategy, W-T-VPack, which replaces the switching activity in P-T-VPack with a net length factor. We obtain a 9.87% energy reduction over T-VPack (3.78% increase over P-T-VPack), while at the same time completely eliminating P-T-VPack's channel width and area overhead. We then introduce W-P-T-VPack, which combines switching activity and net length factors. W-P-T-VPack achieves 14.26% energy reduction (0.31% increase over P-T-VPack), while further improving channel width by up to 12.87% for different cluster sizes. We investigate the energy performance of routability (channel width)-driven clustering algorithms, and show that W-T-VPack consistently outperforms T-RPack and iRAC by at least 11.23% and 9.07%, respectively. We conclude that net-length-based clustering is an effective method to concurrently target energy and channel width.
Year
DOI
Venue
2011
10.1145/2068716.2068724
TRETS
Keywords
DocType
Volume
target energy,energy performance,length factor,energy reduction,channel width,new clustering strategy,net-length-based routability-driven power-aware clustering,net-length-based clustering,high switching activity,area overhead,area reduction,power,field programmable gate array,clustering
Journal
4
Issue
ISSN
Citations 
4
1936-7406
2
PageRank 
References 
Authors
0.37
26
2
Name
Order
Citations
PageRank
Lakshmi Easwaran140.73
Ali Akoglu215729.40