Title
Thermal-induced leakage power optimization by redundant resource allocation
Abstract
Traditionally, at early design stages, leakage power is associated with the number of transistors in a design. Hence, intuitively an implementation with minimum resource usage would be best for low leakage. Such an allocation would generally be followed by switching optimal resource binding to achieve a low power design. This treatment of leakage power is unaware of operating conditions such as temperature. In this paper, we propose a technique to reduce the total leakage power of a design by identifying the optimal number of resources during allocation and binding. We demonstrate that, contrary to the general tendency to minimize the number of resources, the best solution can actually be achieved if a certain degree of redundancy is allowed. This is due to the fact that leakage is strongly dependent on the on-chip temperature profile. Distributing activity over a higher number of resources can reduce power density, remove potential hotspots and subsequently minimize thermal induced leakage. On the other hand, using an arbitrarily high number of resources will not yield the best solution. In this paper, we show that there is a power density, hence, temperature, at which the total leakage power will reach its optimal value. Such an optimal resource number can be a better starting point for the subsequent switching-driven low power binding. We also present a high-level power density-aware leakage model. Based on the estimates by this model, we optimize the total leakage power by 53.8% on average compared to the minimum resource binding, and 35.7% on average compared to a temperature-aware resource binding technique.
Year
DOI
Venue
2006
10.1145/1233501.1233560
ICCAD
Keywords
Field
DocType
high number,thermal-induced leakage power optimization,high-level power density-aware leakage,low leakage,best solution,total leakage power,leakage power,redundant resource allocation,thermal induced leakage,low power design,subsequent switching-driven low power,power density,chip,resource allocation,formal methods,operant conditioning
Thermal,Leakage power optimization,Leakage (electronics),Computer science,Real-time computing,Power density,Electronic engineering,Redundancy (engineering),Resource allocation,Transistor,Low leakage
Conference
ISBN
Citations 
PageRank 
1-59593-389-1
10
0.54
References 
Authors
13
2
Name
Order
Citations
PageRank
Min Ni1694.46
Seda Öǧrenci Memik248842.57