Title
CAVA: Hiding L2 Misses with Checkpoint-Assisted Value Prediction
Abstract
Load misses in on-chip L2 caches often end up stalling modern superscalars. To address this problem, we propose hiding L2 misses with Checkpoint-Assisted VAlue prediction (CAVA). When a load misses in L2, a predicted value is returned to the processor. If the missing load reaches the head of the reorder buffer before the requested data is received from memory, the processor checkpoints, consumes the predicted value, and speculatively continues execution. When the requested data finally arrives, it is compared to the predicted value. If the prediction was correct, execution continues normally; otherwise, execution rolls back to the checkpoint. Compared to a baseline aggressive superscalar, CAVA speeds up execution by a geometric mean of 1.14 for SPECint and 1.34 for SPECfp applications. Additionally, CAVA is faster than an implementation of Runahead execution, and Runahead with value prediction.
Year
DOI
Venue
2004
10.1109/L-CA.2004.3
Computer Architecture Letters
Keywords
Field
DocType
processor checkpoint,l2 miss,missing load,specfp application,runahead execution,baseline aggressive superscalar,cava speed,requested data,checkpoint-assisted value prediction,l2 cache,value prediction,out of order,hardware,recycling,application software,chip,microarchitecture,pipelines,geometric mean
Runahead,Computer science,SPECfp,Parallel computing,Real-time computing,SPECint,Superscalar,Application software,Out-of-order execution,Re-order buffer,Microarchitecture
Journal
Volume
Issue
ISSN
3
1
1556-6056
Citations 
PageRank 
References 
8
0.71
20
Authors
5
Name
Order
Citations
PageRank
Luis Ceze12183125.93
Karin Strauss2111172.82
James Tuck356433.06
Jose Renau476848.46
Josep Torrellas53838262.89