Title
Exploiting Heterogeneity For Energy Efficiency In Chip Multiprocessors
Abstract
Heterogeneous multicores are envisioned to be a promising design paradigm to combat today's challenges of power, memory, and reliability walls that are impeding chip design using deep submicron technology. Future multicores are expected to integrate multiple different cores, including GPGPUs, custom accelerators and configurable cores. In this paper, we introduce an important dimension-technology-using which heterogeneity can be introduced in multicores to improve their energy-performance envelope. Specifically, we analyze the benefits of heterogenous technologies for processor cores and cache subsystems. We discuss two promising device candidates (Tunnel-FET and Magnetic-RAM) for introducing technological diversity in the multicores and analyze their integration in the processor and cache hierarchy in detail. Our analysis shows that introducing such a kind of heterogeneity can significantly enhance the performance and energy behavior of future multicore systems.
Year
DOI
Venue
2011
10.1109/JETCAS.2011.2158343
IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS
Keywords
Field
DocType
Bistable circuits, circuit simulation, finite-element transistors (FETs), heterojunctions, hybrid integrated circuits, logic circuits, magnetic circuits, magnetic tunneling, MOSFET circuits, microprocessors, tunneling
Logic gate,Design paradigm,Adder,Efficient energy use,Cache,Computer science,Electronic engineering,Chip,Integrated circuit design,Multi-core processor,Embedded system
Journal
Volume
Issue
ISSN
1
2
2156-3357
Citations 
PageRank 
References 
25
1.39
25
Authors
6
Name
Order
Citations
PageRank
Vinay Saripalli114513.54
Guangyu Sun21920111.55
Asit K. Mishra3121646.21
Yuan Xie46430407.00
Suman Datta541551.93
Narayanan Vijaykrishnan66955524.60