Title
Compiler-Assisted Leakage Energy Reduction for Cache Memories
Abstract
With the scaling of technology, leakage energy reduction has become increasingly important for microprocessor design. Being the major consumer of the on-chip transistor budget, it is particularly critical to mitigate cache leakage energy. In contrast to many recent studies that attempt to minimize cache leakage by exploiting architectural-level information, this chapter introduces two compiler-assisted approaches to manage the cache leakage dissipation without significant impact on either performance or the dynamic energy consumption. More specifically, the first approach exploits static and profiling information to detect the sub-bank transitions at the compilation time, which can improve the energy efficiency of the drowsy instruction caches. The second approach exploits the fact that only a small portion of the data caches will be accessed during the loop execution, the compiler can provide hints to place other non-active cache blocks into the low power mode during the loop execution to save the data cache leakage energy. Our experiments on a state-of-the-art VLIW processor indicate that the proposed compiler-based approaches can improve the energy-efficiency of both instruction and data caches effectively.
Year
DOI
Venue
2007
10.1016/S0065-2458(06)69003-7
Advances In Computers
Keywords
Field
DocType
chip,cache memory,energy efficient
Computer architecture,Cache invalidation,Cache pollution,CPU cache,Computer science,Cache,Cache algorithms,Cache coloring,Bus sniffing,Smart Cache
Journal
Volume
ISSN
Citations 
69
Advances In Computers
0
PageRank 
References 
Authors
0.34
21
1
Name
Order
Citations
PageRank
Wei Zhang116311.75