Title
Ambipolar independent double gate FET logic
Abstract
In this work, we present a review of recent logic circuit design research using ambipolar independent double gate field effect transistors (Am-ICDGFETs). In a first approach, we examine compact logic and show that, with respect to conventional CMOS-like static logic structures and for comparable power consumption, time delay and integration density can be reduced by 25% and 45% respectively. We then turn to reconfigurability, and demonstrate a key use of ambipolarity in a 16-function dynamically reconfigurable logic cell based on a sum-of-products Boolean function implementation, which achieves remarkable gains in terms of power consumption (9x) and in terms of intrinsic time delay (5x) with respect to conventional 16nm LP CMOS-based look-up table circuits. Finally, we tackle the question of logic synthesis for design paradigms using such fine-grain reconfigurable cells, and show how binary decision diagrams can be adapted to this purpose to generate, in a flexible way, multiple input selective function sets. Using this technique, a generated circuit was also evaluated and shown to compare very favorably to its CMOS equivalent.
Year
DOI
Venue
2012
10.1145/2765491.2765504
Proceedings of the 2012 IEEE/ACM International Symposium on Nanoscale Architectures
Keywords
Field
DocType
carbon nanotubes,logic synthesis,logic gates,boolean functions,field effect transistors
Logic synthesis,Digital electronics,Logic gate,Sequential logic,Pass transistor logic,Computer science,Logic optimization,Electronic engineering,Logic family,Programmable logic device
Conference
ISSN
ISBN
Citations 
2327-8218
978-1-4503-1671-2
2
PageRank 
References 
Authors
0.47
1
4
Name
Order
Citations
PageRank
Ian O'Connor126334.46
Kotb Jabeur2337.43
Sébastien Le Beux315519.04
David Navarro4366.88