Title
ROA-Brick Topology for Low-Skew Rotary Resonant Clock Network Design
Abstract
This paper presents a topology-based solution for a low-skew rotary oscillator array (ROA) clock distribution network design. An ROA-brick structure is proposed that limits the traveling wave oscillation to only two uniform ring rotation directions in the ROA-brick: all the rings in clockwise (CW) direction or all the rings in counter CW direction. An ROA built from the ROA-bricks has the following advantages: 1) similar to the ROA-brick, only two uniform ring rotation directions are feasible in the ROA; 2) the same phase tapping points of all the rings in the ROA are identifiable; and 3) these same phase tapping points of the ROA are independent from the two possible rotation directions. It is mathematically proved that the ROA-brick is the only ROA structure, which can limit the ring rotation direction combinations so as to guarantee the generation of same phase clock signals. The proposed brick-based ROA clock generation and distribution networks are designed for ISPD 10 clock benchmarks demonstrating the gigahertz operation with the low-skew clock generation and distributions through HSPICE.
Year
DOI
Venue
2015
10.1109/TVLSI.2014.2385835
VLSI) Systems, IEEE Transactions  
Keywords
Field
DocType
clock distribution network design,resonant clock,synthesis.,power transmission lines,topology,synchronization,capacitance,oscillators
Topology,Clock network,Synchronization,Computer science,Clock domain crossing,Electronic engineering,Electric power transmission,Synchronous circuit,Clock skew,Skew,Clock angle problem
Journal
Volume
Issue
ISSN
PP
99
1063-8210
Citations 
PageRank 
References 
3
0.52
18
Authors
2
Name
Order
Citations
PageRank
Ying Teng1152.87
Baris Taskin222740.82