Name
Affiliation
Papers
BARIS TASKIN
Drexel Univ, Philadelphia, PA 19104 USA
97
Collaborators
Citations 
PageRank 
65
227
40.82
Referers 
Referees 
References 
297
1042
762
Search Limit
1001000
Title
Citations
PageRank
Year
Resonant Clock Synchronization With Active Silicon Interposer for Multi-Die Systems00.342021
SnackNoC: Processing in the Communication Layer20.372020
TSV Antennas for Multi-Band Wireless Communication10.382020
Comprehensive Low Power Adiabatic Circuit Design with Resonant Power Clocking00.342020
FinFET - Based Low Swing Rotary Traveling Wave Oscillators.00.342020
Distributed Digital Low-Dropout Regulators with Phase Interleaving for On-Chip Voltage Noise Mitigation00.342019
FOPAC : Flexible On-Chip Power and Clock00.342019
Slew Merging Region Propagation for Bounded Slew and Skew Clock Tree Synthesis.00.342019
Robust Low Power Clock Synchronization for Multi-Die Systems00.342019
The Adiabatically Driven StrongARM Comparator.00.342019
Custard: ASIC Workload-Aware Reliable Design for Multicore IoT Processors.10.352019
RotaSYN: Rotary Traveling Wave Oscillator SYNthesizer10.362019
SLECTS: Slew-Driven Clock Tree Synthesis00.342019
On-chip wireless interconnect paradigm00.342019
Low Voltage Clock Tree Synthesis with Local Gate Clusters00.342019
Towards Cross-Framework Workload Analysis via Flexible Event-Driven Interfaces00.342018
Towards Design Decisions for Genetic Algorithms in Clock Tree Synthesis00.342018
Vertical Arbitration-Free 3-D NoCs.00.342018
SynchroTrace: Synchronization-Aware Architecture-Agnostic Traces for Lightweight Multicore Simulation of CMP and HPC Workloads.30.382018
Reconfigurable threshold logic gates using optoelectronic capacitors.00.342017
A charge recovery logic system bus00.342017
Stability Of Rotary Traveling Wave Oscillators Under Process Variations And Nbti00.342017
Slew-down: analysis of slew relaxation for low-impact clock buffers00.342017
Special issue on IEEE/ACM System Level Interconnect Prediction (SLIP) Workshop 201600.342017
Charge recovery implementation of an analog comparator: Initial results00.342017
WT-CTS: Incremental Delay Balancing Using Parallel Wiring Type For CTS00.342017
Wireless NoCs Using Directional and Substrate Propagation Antennas00.342017
Energy aware routing of multi-level Network-on-Chip traffic00.342016
Wireless Network-on-Chip analysis of propagation technique for on-chip communication00.342016
Design Methodology for Voltage-Scaled Clock Distribution Networks.10.372016
ROA-Brick Topology for Low-Skew Rotary Resonant Clock Network Design30.522015
Enhanced Level Shifter For Multi-Voltage Operation20.392015
Effects of Nondeterminism in Hardware and Software Simulation with Thread Mapping00.342015
Synchrotrace: synchronization-aware architecture-agnostic traces for light-weight multicore simulation60.422015
Locality-Aware Network Utilization Balancing in NoCs10.352015
A wirelessly powered system with charge recovery logic10.412015
Uncore RPD: Rapid Design Space Exploration of the Uncore via Regression Modeling10.362015
FinFET-Based Low-Swing Clocking50.482015
Can You Trust Your Memory Trace? A Comparison of Memory Traces from Binary Instrumentation and Simulation20.382015
Frequency-centric resonant rotary clock distribution network design00.342014
Range-based Dynamic Routing of Hierarchical On Chip Network Traffic20.372014
Iterative skew minimization for low swing clocks.00.342014
High Performance Low Swing Clock Tree Synthesis with Custom D Flip-Flop Design30.412014
Timing characterization of clock buffers for clock tree synthesis40.462014
Multi-corner multi-voltage domain clock mesh design20.402013
Skew-bounded low swing clock tree optimization40.462013
Rotary traveling wave oscillator frequency division at nanoscale technologies00.342013
A microcontroller-based embedded system design course with PSoC320.462013
Resonant Frequency Divider Design Methodology For Dynamic Frequency Scaling00.342013
Sparse-rotary oscillator array (SROA) design for power and skew reduction30.442013
  • 1
  • 2