Title
Flexible auto-refresh: enabling scalable and energy-efficient DRAM refresh reductions
Abstract
DRAM cells require periodic refreshing to preserve data. In JEDEC DDRx devices, a refresh operation is performed via an auto-refresh command, which refreshes multiple rows in multiple banks simultaneously. The internal implementation of auto-refresh is completely opaque outside the DRAM --- all the memory controller can do is to instruct the DRAM to refresh itself --- the DRAM handles all else, in particular determining which rows in which banks are to be refreshed. This is in conflict with a large body of research on reducing the refresh overhead, in which the memory controller needs fine-grained control over which regions of the memory are refreshed. For example, prior works exploit the fact that a subset of DRAM rows can be refreshed at a slower rate than other rows due to access rate or retention period variations. However, such row-granularity approaches cannot use the standard auto-refresh command, which refreshes an entire batch of rows at once and does not permit skipping of rows. Consequently, prior schemes are forced to use explicit sequences of activate (ACT) and precharge (PRE) operations to mimic row-level refreshing. The drawback is that, compared to using JEDEC's auto-refresh mechanism, using explicit ACT and PRE commands is inefficient, both in terms of performance and power. In this paper, we show that even when skipping a high percentage of refresh operations, existing row-granurality refresh techniques are mostly ineffective due to the inherent efficiency disparity between ACT/PRE and the JEDEC auto-refresh mechanism. We propose a modification to the DRAM that extends its existing control-register access protocol to include the DRAM's internal refresh counter. We also introduce a new \"dummy refresh\" command that skips refresh operations and simply increments the internal counter. We show that these modifications allow a memory controller to reduce as many refreshes as in prior work, while achieving significant energy and performance advantages by using auto-refresh most of the time.
Year
DOI
Venue
2015
10.1145/2749469.2750408
International Symposium on Computer Architecture
Keywords
Field
DocType
flexible auto-refresh,energy-efficient DRAM refresh reductions,row-granurality refresh techniques,ACT,PRE,JEDEC auto-refresh mechanism,control-register access protocol,internal refresh counter,dummy refresh,memory controller
Computer science,Real-time computing,Computer hardware,Memory controller,Dram,Row,Efficient energy use,Parallel computing,Exploit,Memory rank,Memory refresh,Embedded system,Scalability
Conference
Volume
Issue
ISSN
43
3S
0163-5964
Citations 
PageRank 
References 
17
0.62
19
Authors
4
Name
Order
Citations
PageRank
Ishwar Bhati1481.96
Zeshan Chishti272334.65
Shih-Lien Lu3191.36
Bruce Jacob41543103.58