Title
Tardis: Time Traveling Coherence Algorithm for Distributed Shared Memory.
Abstract
A new memory coherence protocol, Tardis, is proposed. Tardis uses timestamp counters representing logical time as well as physical time to order memory operations and enforce sequential consistency in any type of shared memory system. Tardis is unique in that as compared to the widely-adopted directory coherence protocol, and its variants, it completely avoids multicasting and only requires O(log N) storage per cache block for an N-core system rather than O(N) sharer information. Tardis is simpler and easier to reason about, yet achieves similar performance to directory protocols on a wide range of benchmarks run on 16, 64 and 256 cores.
Year
DOI
Venue
2015
10.1109/PACT.2015.12
Parallel Architectures and Compilation Techniques
Keywords
Field
DocType
coherence, timestamp, scalability, sequential consistency
Sequential consistency,Shared memory,Computer science,Cache,MESIF protocol,Parallel computing,MESI protocol,Real-time computing,Memory coherence,Distributed shared memory,Cache coherence
Conference
ISSN
Citations 
PageRank 
1089-795X
9
0.46
References 
Authors
27
2
Name
Order
Citations
PageRank
Xiangyao Yu127016.17
Srinivas Devadas286061146.30