Title
An Online and Real-Time Fault Detection and Localization Mechanism for Network-on-Chip Architectures.
Abstract
Networks-on-Chip (NoC) are becoming increasingly susceptible to emerging reliability threats. The need to detect and localize the occurrence of faults at runtime is steadily becoming imperative. In this work, we propose NoCAlert, a comprehensive online and real-time fault detection and localization mechanism that demonstrates 0% false negatives within the interconnect for the fault models and stimulus set used in this study. Based on the concept of invariance checking, NoCAlert employs a group of lightweight microchecker modules that collectively implement real-time hardware assertions. The checkers operate concurrently with normal NoC operation, thus eliminating the need for periodic, or triggered-based, self-testing. Based on the pattern/signature of asserted checkers, NoCAlert can pinpoint the location of the fault at various granularity levels. Most important, 97% of the transient and 90% of the permanent faults are detected instantaneously, within a single clock cycle upon fault manifestation. The fault localization accuracy ranges from 90% to 100%, depending on the desired localization granularity. Extensive cycle-accurate simulations in a 64-node CMP and analysis at the RTL netlist-level demonstrate the efficacy of the proposed technique.
Year
DOI
Venue
2016
10.1145/2930670
TACO
Keywords
Field
DocType
Networks-on-chip,NoC,fault detection/diagnosis,fault localization
Fault coverage,Computer science,Real-time computing,Granularity,Distributed computing,Stuck-at fault,General protection fault,Fault detection and isolation,Parallel computing,Network on a chip,Interconnection,Cycles per instruction,Embedded system
Journal
Volume
Issue
ISSN
13
2
1544-3566
Citations 
PageRank 
References 
3
0.43
48
Authors
7