Title
Adaptive Thermal Management for 3D ICs with Stacked DRAM Caches.
Abstract
We describe an adaptive thermal management system for 3D-ICs with stacked DRAM cache memories. We present a detailed analysis of the impact of 3D-IC hotspot aggregation on the refresh behavior of the stacked DRAM-based L3 cache. We also present the consequence of the refresh variation on the overall system performance and cache energy consumption. Our analysis demonstrates that memory intensive applications are influenced more strongly by the DRAM refresh variation. We show that there is an optimal operating point where, with a reduced clock frequency, processor cores would actually recover any performance loss induced by DRAM refresh and at the same time the cache energy consumption could be optimized. We propose a low overhead run-time method that can identify the best CPU frequency modulation factor to cool the system to minimize accelerated refresh rates in the DRAM caches. Our system can provide a customizable trade-off between performance of the processor and energy savings of the memory.
Year
DOI
Venue
2017
10.1145/3061639.3062197
DAC
Keywords
Field
DocType
3D-IC, thermal management, DRAM, cache, low power design
Pipeline burst cache,Cache pollution,Computer science,Cache,Real-time computing,Static random-access memory,Universal memory,Cache coloring,Computer hardware,Memory rank,CAS latency
Conference
ISSN
ISBN
Citations 
0738-100X
978-1-5090-5664-4
2
PageRank 
References 
Authors
0.37
13
4
Name
Order
Citations
PageRank
Dawei Li120.37
Kaicheng Zhang2332.63
Akhil Guliani3111.21
Seda Öǧrenci Memik448842.57