Title
Integrating DRAM power-down modes in gem5 and quantifying their impact.
Abstract
Across applications, DRAM is a significant contributor to the overall system power, with the DRAM access energy per bit up to three orders of magnitude higher compared to on-chip memory accesses. To improve the power efficiency, DRAM technology incorporates multiple power-down modes, each with different trade-offs between achievable power savings and performance impact due to entry and exit delay requirements. Accurate modeling of these low power modes and entry and exit control is crucial to analyze the trade-offs across controller configurations and workloads with varied memory access characteristics. To address this, we integrate the power-down modes into the DRAM controller model in the open-source simulator gem5. This is the first publicly available full-system simulator with DRAM power-down modes, providing the research community a tool for DRAM power analysis for a breadth of use cases. We validate the power-down functionality with sweep tests, which trigger defined memory access characteristics. We further evaluate the model with real HPC workloads, illustrating the value of integrating low power functionality into a full system simulator.
Year
DOI
Venue
2018
10.1145/3132402.3132444
MEMSYS 2017: PROCEEDINGS OF THE INTERNATIONAL SYMPOSIUM ON MEMORY SYSTEMS
Keywords
DocType
Volume
DRAM,Power-Down,Simulation,gem5,Power
Journal
abs/1803.07613
ISSN
Citations 
PageRank 
In Proceedings of MEMSYS 2017, Alexandria, VA, USA, October 2, 2017, 10 pages, ACM
0
0.34
References 
Authors
22
6
Name
Order
Citations
PageRank
Radhika Jagtap161.81
Matthias Jung211116.76
Wendy Elsasser3212.02
Christian Weis428426.11
Andreas Hansson500.34
Norbert Wehn61165137.17