Title
A strategy for fault tolerant reconfigurable Network-on-Chip design
Abstract
In this work we have proposed a fault tolerant reconfigurable Network-on-Chip (NoC) architecture that can endure router faults with graceful degradation in network performance. The routers which form the main building block of the interconnect network, have been modified to support multi-core connections. In case of router faults, the modified routers help to recover the healthy cores connected with the faulty routers by dynamically reconfiguring itself and updating the routing table associated with individual routers.
Year
DOI
Venue
2016
10.1109/ISVDAT.2016.8064893
2016 20th International Symposium on VLSI Design and Test (VDAT)
Keywords
Field
DocType
Network-on-Chip,Fault Tolerance,Reconfiguration
Topology table,Computer science,Network on a chip,Computer network,Network topology,Real-time computing,Fault tolerance,Router,Interconnection,Routing table,Network performance
Conference
ISSN
ISBN
Citations 
2475-8620
978-1-5090-1423-1
0
PageRank 
References 
Authors
0.34
6
3
Name
Order
Citations
PageRank
Navonil Chatterjee1266.21
Priyajit Mukherjee2113.57
Santanu Chattopadhyay334344.89