Title
SimTRaX: Simulation Infrastructure for Exploring Thousands of Cores.
Abstract
SimTRaX is a simulation infrastructure for simultaneous exploration of highly parallel accelerator architectures and how applications map to them. The infrastructure targets both cycle-accurate and functional simulation of architectures with thousands of simple cores that may share expensive computation and memory resources. A modified LLVM backend used to compile C++ programs for the simulated architecture allows the user to create custom instructions that access proposed special-purpose hardware and to debug and profile the applications being executed. The simulator models a full memory hierarchy including registers, local scratchpad RAM, shared caches, external memory channels, and DRAM main memory, leveraging the USIMM DRAM simulator to provide accurate dynamic latencies and power usage. SimTRaX provides a powerful and flexible infrastructure for exploring a class of extremely parallel architectures for parallel applications that are not easily simulated using existing simulators.
Year
DOI
Venue
2018
10.1145/3194554.3194650
ACM Great Lakes Symposium on VLSI
Keywords
Field
DocType
Architecture simulation, single program multiple data, LLVM
Dram,Architecture,Memory hierarchy,Computer science,Communication channel,Compiler,Real-time computing,Computation,Auxiliary memory,Embedded system,Debugging
Conference
ISSN
ISBN
Citations 
1066-1395
978-1-4503-5724-1
1
PageRank 
References 
Authors
0.35
0
8
Name
Order
Citations
PageRank
Konstantin Shkurko1334.33
Tim Grant240.72
Erik Brunvand350966.09
Daniel Kopta4825.73
Josef Spjut510110.20
Elena Vasiou611.70
Ian Mallett744.78
Cem Yuksel826430.15