Title
Dynamic Energy Optimization in Chip Multiprocessors Using Deep Neural Networks.
Abstract
We investigate the use of deep neural network (DNN) models for energy optimization under performance constraints in chip multiprocessor systems. We introduce a dynamic energy management algorithm implemented in three phases. In the first phase, training data is collected by running several selected instrumented benchmarks. A training data point represents a pair of values of cores’ workload charac...
Year
DOI
Venue
2018
10.1109/TMSCS.2018.2870438
IEEE Transactions on Multi-Scale Computing Systems
Keywords
DocType
Volume
Optimization,Kalman filters,Reinforcement learning,Prediction algorithms,Energy consumption,Artificial neural networks
Journal
4
Issue
ISSN
Citations 
4
2332-7766
0
PageRank 
References 
Authors
0.34
0
3
Name
Order
Citations
PageRank
Milad Ghorbani Moghaddam194.70
Wenkai Guan211.11
Cristinel Ababei328124.54