Title
ITAP: Idle-Time-Aware Power Management for GPU Execution Units.
Abstract
Graphics Processing Units (GPUs) are widely used as the accelerator of choice for applications with massively data-parallel tasks. However, recent studies show that GPUs suffer heavily from resource underutilization, which, combined with their large static power consumption, imposes a significant power overhead. One of the most power-hungry components of a GPU—the execution units—frequently experience idleness when (1) an underutilized warp is issued to the execution units, leading to partial lane idleness, and (2) there is no active warp to be issued for the execution due to warp stalls (e.g., waiting for memory access and synchronization). Although large in total, the idle time of execution units actually comes from short but frequent stalls, leaving little potential for common power saving techniques, such as power-gating. In this article, we propose ITAP, a novel idle-time-aware power management technique, which aims to effectively reduce the static energy consumption of GPU execution units. By taking advantage of different power management techniques (i.e., power-gating and different levels of voltage scaling), ITAP employs three static power reduction modes with different overheads and capabilities of static power reduction. ITAP estimates the idle period length of execution units using prediction and peek-ahead techniques in a synergistic way and then applies the most appropriate static power reduction mode based on the estimated idle period length. We design ITAP to be power-aggressive or performance-aggressive, not both at the same time. Our experimental results on several workloads show that the power-aggressive design of ITAP outperforms the state-of-the-art solution by an average of 27.6% in terms of static energy savings, with less than 2.1% performance overhead. However, the performance-aggressive design of ITAP improves the static energy savings by an average of 16.9%, while keeping the GPU performance almost unaffected (i.e., up to 0.4% performance overhead) compared to the state-of-the-art static energy savings mechanism.
Year
DOI
Venue
2019
10.1145/3291606
TACO
Keywords
Field
DocType
GPUs, execution units, power-gating, static power, voltage-scaling
Graphics,Power management,Synchronization,Idle,Computer science,Voltage,Parallel computing,Power gating,Idle time,Overhead (business)
Journal
Volume
Issue
ISSN
16
1
1544-3566
Citations 
PageRank 
References 
1
0.35
72
Authors
10
Name
Order
Citations
PageRank
Mohammad Sadrosadati1819.33
Seyed Borna Ehsani210.35
Hajar Falahati341.38
Rachata Ausavarungnirun478029.88
Arash Tavakkol515110.83
Mojtaba Abaee610.35
Lois Orosa7725.27
Yaohua Wang84414.23
Hamid Sarbazi-Azad9949103.28
Onur Mutlu109446357.40