Title
Assessing the Effects of Low Voltage in Branch Prediction Units
Abstract
Branch prediction units are key performance components in modern microprocessors as they are widely used to address control hazards and minimize misprediction stalls. The continuous urge of high performance has led designers to integrate highly sophisticated predictors with complex prediction algorithms and large storage requirements. As a result, BPUs in modern microprocessors consume large amounts of power. But when a system is under a limited power budget, critical decisions are required in order to achieve an equilibrium point between the BPU and the rest of the microprocessor. In this work, we present a comprehensive analysis of the effects of low voltage configuration Branch Prediction Units (BPU). We propose a design with separate voltage domain for the BPU, which exploits the speculative nature of the BPU (which is self-correcting) that allows reduction of power without affecting functional correctness. Our study explores how several branch predictor implementations behave when aggressively undervolted, the performance impact of BTB as well as in which cases it is more efficient to reduce the BP and BTB size instead of undervolting. We also show that protection of BPU SRAM arrays has limited potential to further increase the energy savings, showcasing a realistic protection implementation. Our results show that BPU undervolting can result in power savings up to 69%, while the microprocessor energy savings can be up to 12%, before the penalty of the performance degradation overcomes the benefits of low voltage. Neither smaller predictor sizes nor protection mechanisms can further improve energy consumption.
Year
DOI
Venue
2019
10.1109/ISPASS.2019.00020
2019 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)
Keywords
Field
DocType
Branch predictors,energy efficiency,gem5,micro-architectural simulation,power,voltage scaling
Power budget,Efficient energy use,Computer science,Correctness,Microprocessor,Parallel computing,Static random-access memory,Low voltage,Energy consumption,Reliability engineering,Branch predictor
Conference
ISBN
Citations 
PageRank 
978-1-7281-0746-2
4
0.39
References 
Authors
0
5