Title
Low Voltage Clock Tree Synthesis with Local Gate Clusters
Abstract
In this paper, a novel local clock gate cluster-aware low voltage clock tree synthesis methodology is introduced. In low voltage/swing clocking, timing closure is a challenging problem due to tight skew and slew constraints. The clock gating makes this problem more challenging due to the high delay mismatch between the gated and the non-gated sinks. The proposed methodology preserves the power savings of the clock gating and exploits low swing clocking to further reduce the power consumption, while maintaining the same skew and slew constraints as the full swing counterpart. Experimental results performed on the large circuits of ISCAS'89 benchmarks operating at 1.5GHz in the 45nm technology node demonstrate that the proposed methodology can provide 38% power savings as compared to a full swing gated clock tree, achieving an additional 12% savings as compared to a low swing non-gated clock tree.
Year
DOI
Venue
2019
10.1145/3299874.3318004
Proceedings of the 2019 on Great Lakes Symposium on VLSI
Keywords
Field
DocType
clock gating, clock tree synthesis, interconnects, low power, low voltage
Cluster (physics),Clock gating,Computer science,Electronic engineering,Low voltage,Skew,Electronic circuit,Timing closure,Swing,Clock tree synthesis
Conference
ISSN
ISBN
Citations 
1066-1395
978-1-4503-6252-8
0
PageRank 
References 
Authors
0.34
0
4
Name
Order
Citations
PageRank
Can Sitik1153.80
Weicheng Liu231.77
Baris Taskin322740.82
Emre Salman49821.01