Title
RTL-to-GDS Tool Flow and Design-for-Test Solutions for Monolithic 3D ICs
Abstract
Monolithic 3D IC overcomes the limitation of the existing through-silicon-via (TSV) based 3D IC by providing denser vertical connections with nano-scale inter-layer vias (ILVs). In this paper, we demonstrate a thorough RTL-to-GDS design flow for monolithic 3D IC, which is based on commercial 2D place-and-route (P&R;) tools and clever ways to extend them to handle 3D IC designs and simulations. We also provide a low-cost built-in-self-test (BIST) method to detect various faults that can occur on ILVs. Lastly, we present a resistive random access memory (ReRAM) compiler that generates memory modules that are to be integrated in monolithic 3D ICs.
Year
DOI
Venue
2019
10.1145/3316781.3323486
Proceedings of the 56th Annual Design Automation Conference 2019
DocType
ISBN
Citations 
Conference
978-1-4503-6725-7
1
PageRank 
References 
Authors
0.36
0
11
Name
Order
Citations
PageRank
Heechun Park1135.44
Kyungwook Chang2458.39
Bon Woong Ku3186.01
Jinwoo Kim41918168.52
Edward Lee543.79
Dae Hyun Kim650546.95
Arjun Chaudhuri7177.07
Sanmitra Banerjee894.68
Saibal Mukhopadhyay91288150.52
K Chakrabarty108173636.14
Sung Kyu Lim111688168.71