Title
AMC: An Asynchronous Memory Compiler
Abstract
The lack of electronic design automation (EDA) tools for asynchronous circuits makes it challenging to design asynchronous systems that have high complexity. This restriction also makes it almost impossible to prototype and compare new asynchronous designs with their clocked counterparts. The availability of high quality EDA tools would significantly bolster research in asynchronous design, and potentially lead to their adoption in certain application domains. This paper presents AMC: an open-source asynchronous pipelined memory compiler. AMC generates SRAM modules with a bundled-data datapath and quasi-delay-insensitive control. AMC is a flexible, user-modifiable and technology-independent memory compiler that generates fabricable SRAM blocks in a broad range of sizes, configurations and process nodes. AMC also produces memory designs that are competitive with both asynchronous and synchronous memories in the literature. AMC aims to reduce design turn-around time and amplify the research in the asynchronous design community.
Year
DOI
Venue
2019
10.1109/ASYNC.2019.00009
2019 25th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC)
Keywords
Field
DocType
Memory Compiler,Asynchronous SRAM,Asynchronous Memory,Asynchronous VLSI
Asynchronous communication,Datapath,Computer architecture,Computer science,Compiler,Static random-access memory,Electronic design automation,Electronic circuit
Conference
ISSN
ISBN
Citations 
2643-1394
978-1-5386-4748-6
0
PageRank 
References 
Authors
0.34
4
2
Name
Order
Citations
PageRank
Samira Ataei100.68
Rajit Manohar2103896.72