Title
ABCDPlace: Accelerated Batch-Based Concurrent Detailed Placement on Multithreaded CPUs and GPUs
Abstract
Placement is an important step in modern verylarge-scale integrated (VLSI) designs. Detailed placement is a placement refining procedure intensively called throughout the design flow, thus its efficiency has a vital impact on design closure. However, since most detailed placement techniques are inherently greedy and sequential, they are generally difficult to parallelize. In this article, we present a concurrent detailed placement framework, ABCDPlace, exploiting multithreading and graphic processing unit (GPU) acceleration. We propose batch-based concurrent algorithms for widely adopted sequential detailed placement techniques, such as independent set matching, global swap, and local reordering. The experimental results demonstrate that ABCDPlace can achieve 2× -5× faster runtime than sequential implementations with multithreaded CPU and over 10× with GPU on ISPD 2005 contest benchmarks without quality degradation. On larger industrial benchmarks, we show more than 16× speedup with GPU over the state-of-the-art sequential detailed placer. ABCDPlace finishes the detailed placement of a 10-million-cell industrial design in 1 min.
Year
DOI
Venue
2020
10.1109/TCAD.2020.2971531
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems
Keywords
DocType
Volume
Graphic processing unit (GPU) acceleration,linear assignment problem (LAP),physical design,very-large-scale integrated (VLSI) placement
Journal
39
Issue
ISSN
Citations 
12
0278-0070
4
PageRank 
References 
Authors
0.43
0
6
Name
Order
Citations
PageRank
Yibo Lin111920.98
Wuxi Li2366.03
Jiaqi Gu3186.97
Haoxing Ren428822.61
Brucek Khailany51187118.43
David Z. Pan62653237.64