Title
On the superiority of modularity-based clustering for determining placement-relevant clusters
Abstract
In advanced technology nodes, IC implementation faces increasing design complexity as well as ever-more demanding design schedule requirements. This raises the need for new decomposition approaches that can help reduce problem complexity, in conjunction with new predictive methodologies that can help avoid bottlenecks and loops in the physical implementation flow. Notably, with modern design methodologies it would be very valuable to better predict final placement of the gate-level netlist: this would enable more accurate early assessment of performance, congestion and floorplan viability in the SOC floorplanning/RTL planning stages of design. In this work, we study a new criterion for the classic challenge of VLSI netlist clustering: how well netlist clusters “stay together” through final implementation. We propose the use of several evaluators of this criterion. We also explore the use of modularity-driven clustering to identify natural clusters in a given graph without the tuning of parameters and size balance constraints typically required by VLSI CAD partitioning methods. We find that the netlist hypergraph-to-graph mapping can significantly affect quality of results, and we experimentally identify an effective recipe for weighting that also comprehends topological proximity to I/Os. Further, we empirically demonstrate that modularity-based clustering achieves better correlation to actual netlist placements than traditional VLSI CAD methods (our method is also 2× faster than use of hMetis for our largest testcases). Finally, we propose a flow with fast “blob placement” of clusters. The “blob placement” is used as a seed for a global placement tool that performs placement of the flat netlist. With this flow we achieve 20% speedup on the placement of a netlist with 4.9 M instances with less than 3% difference in routed wirelength.
Year
DOI
Venue
2020
10.1016/j.vlsi.2020.03.007
Integration
Keywords
DocType
Volume
EDA,Physical design,Floorplanning,Placement,Modularity-based clustering
Journal
74
ISSN
Citations 
PageRank 
0167-9260
0
0.34
References 
Authors
0
6
Name
Order
Citations
PageRank
Mateus Fogaça1204.00
Andrew B. Kahngyz2453.43
Eder Monteiro300.34
Ricardo A. L. Reis421748.75
Lutong Wang5287.52
Mingyu Woo6154.86