Title
Advances in Design and Test of Monolithic 3-D ICs
Abstract
Monolithic 3-D (M3D) technology enables unprecedented degrees of integration on a single chip. The miniscule monolithic intertier vias (MIVs) in M3D are the key behind higher transistor density and more flexibility in designing circuits compared to conventional through silicon via (TSV)-based architectures. This article presents a comprehensive design and test techniques for emerging M3D-enabled circuits and systems.
Year
DOI
Venue
2020
10.1109/MDAT.2020.2988657
IEEE Design & Test
Keywords
DocType
Volume
monolithic 3D,MIV,EDA,Shrunk-2D,design-for-test,BIST,resistive defect,ReRAM,ReRAM compiler,design-space exploration
Journal
37
Issue
ISSN
Citations 
4
2168-2356
1
PageRank 
References 
Authors
0.38
0
10
Name
Order
Citations
PageRank
Arjun Chaudhuri1177.07
Sanmitra Banerjee294.68
Heechun Park3135.44
Jinwoo Kim413.08
Gauthaman Murali543.27
Edward Lee643.79
Dae Hyun Kim750546.95
Sung Kyu Lim81688168.71
Saibal Mukhopadhyay91288150.52
K Chakrabarty108173636.14