Name
Affiliation
Papers
VICTOR VINALS
IEEE
44
Collaborators
Citations 
PageRank 
79
227
21.83
Referers 
Referees 
References 
501
912
640
Search Limit
100912
Title
Citations
PageRank
Year
Near-optimal replacement policies for shared caches in multicore processors00.342021
Exposing Abstraction-Level Interactions with a Parallel Ray Tracer00.342019
Boosting Backward Search Throughput for FM-Index Using a Compressed Encoding00.342019
ReD: A reuse detector for content selection in exclusive shared last-level caches.20.432019
Reuse Detector: Improving the Management of STT-RAM SLLCs.00.342018
Reactive circuits: Dynamic construction of circuits for reactive traffic in homogeneous CMPs.00.342016
ACDC: Small, Predictable and High-Performance Data Cache10.362015
A predictable hardware to exploit temporal reuse in real-time and embedded systems20.632015
A complete electronic network interface architecture for global contention-free communication over emerging optical networks-on-chip30.402014
Dynamic construction of circuits for reactive traffic in homogeneous CMPs00.342014
Capturing the sensitivity of optical network quality metrics to its network interface parameters.10.362014
The reuse cache: downsizing the shared last-level cache210.722013
Exploiting reuse locality on inclusive shared last-level caches90.562013
Optimizing a combined WCET-WCEC problem in instruction fetching for real-time systems00.342013
Characterization and cost-efficient selection of NoC topologies for general purpose CMPs00.342013
A Small and Effective Data Cache for Real-Time Multitasking Systems00.342012
ABS: A low-cost adaptive controller for prefetching in a banked shared last-level cache150.632012
Multi-level Adaptive Prefetching based on Performance Gradient Tracking.70.522011
Filtering directory lookups in CMPs with write-through caches00.342011
Improving the WCET computation in the presence of a lockable instruction cache in multitasking real-time systems150.582011
Filtering directory lookups in CMPs00.342010
Combining Prefetch with Instruction Cache Locking in Multitasking Real-Time Systems80.472010
A Methodology to Characterize Critical Section Bottlenecks in DSM Multiprocessors40.412009
Low-Cost Adaptive Data Prefetching20.412008
Avoiding the WCET Overestimation on LRU Instruction Cache40.432008
Selection of the Register File Size and the Resource Allocation Policy on SMT Processors20.372008
Microarchitectural Support for Speculative Register Renaming20.362007
Characterization of Apache web server with Specweb200530.592007
Data prefetching in a cache hierarchy with high bandwidth and capacity60.472007
Speculative early register release10.352006
Speeding-up synchronizations in DSM multiprocessors10.352006
Store Buffer Design in First-Level Multibanked Data Caches170.852005
Tradeoffs in buffering speculative memory state for thread-level speculation in multiprocessors140.812005
Contents Management in First-Level Multibanked Data Caches20.402004
Late Allocation and Early Release of Physical Registers120.562004
Counteracting Bank Misprediction in Sliced First-Level Caches20.392003
Using Software Logging to Support Multi-Version Buffering in Thread-Level Speculation20.892003
Tradeoffs in Buffering Memory State for Thread-Level Speculation in Multiprocessors241.552003
Hardware Schemes for Early Register Release250.902002
Hardware Prefetching in Bus-Based Multiprocessors: Pattern Characterization and Cost-Effective Hardware40.412001
Modeling load address behaviour through recurrences20.382000
Dynamic Register Renaming Through Virtual-Physical Registers50.682000
Characterization and improvement of load/store cache-based prefetching80.531998
Performance Assessment of Contents Management in Multilevel On-Chip Caches10.361996