Name
Papers
Collaborators
SRIRAM R. VANGAL
23
114
Citations 
PageRank 
Referers 
1857
114.54
3968
Referees 
References 
243
82
Search Limit
1001000
Title
Citations
PageRank
Year
Wide-Range Many-Core SoC Design in Scaled CMOS: Challenges and Opportunities00.342021
Session 12 Overview: Innovations in Low-Power and Secure IoT00.342021
An Energy-Efficient Graphics Processor in 14-nm Tri-Gate CMOS Featuring Integrated Voltage Regulators for Fine-Grain DVFS, Retentive Sleep, and <inline-formula> <tex-math notation="LaTeX">${V}_{\text{MIN}}$ </tex-math></inline-formula> Optimization20.382019
Introduction to the Special Section on the 2019 IEEE International Solid-State Circuits Conference (ISSCC)00.342019
Near Threshold Voltage (NTV) Computing: Computing in the Dark Silicon Era.20.412017
An energy harvesting wireless sensor node for IoT systems featuring a near-threshold voltage IA-32 microcontroller in 14nm tri-gate CMOS.20.382016
Test implications and challenges in near threshold computing special session00.342016
A solar-powered 280mV-to-1.2V wide-operating-range IA-32 processor00.342014
A 280mV-to-1.2V wide-operating-range IA-32 processor in 32nm CMOS.664.472012
A 2 Tb/S 6 4 Mesh Network For A Single-Chip Cloud Computer With Dvfs In 45 Nm Cmos511.862011
A 48-Core IA-32 Processor in 45 nm CMOS Using On-Die Message-Passing and DVFS for Performance and Power Scaling2177.142011
Within-Die Variation-Aware Dynamic-Voltage-Frequency-Scaling With Optimal Core Allocation and Thread Hopping for the 80-Core TeraFLOPS Processor642.212011
Guest Editors' Introduction: Promises and Challenges of Novel Interconnect Technologies00.342010
A 48-Core IA-32 message-passing processor with DVFS in 45nm CMOS31213.002010
The 48-core SCC Processor: the Programmer's View1246.022010
Within-die variation-aware dynamic-voltage-frequency scaling core mapping and thread hopping for an 80-core processor.191.142010
A 90mW/GFlop 3.4GHz Reconfigurable Fused/Continuous Multiply-Accumulator for Floating-Point and Integer Operands in 65nm70.572010
An 80-Tile Sub-100-W TeraFLOPS Processor in 65-nm CMOS31112.642008
A 5-GHz Mesh Interconnect for a Teraflops Processor31913.602007
Adaptive Frequency and Biasing Techniques for Tolerance to Dynamic Temperature-Voltage Variations and Aging495.282007
An 80-Tile 1.28TFLOPS Network-on-Chip in 65nm CMOS.25428.242007
A 6.2-GFlops Floating-Point Multiply-Accumulator With Conditional Normalization3011.842006
A TCP offload accelerator for 10 Gb/s Ethernet in 90-nm CMOS283.322003