Name
Affiliation
Papers
VIJAY RAGHUNATHAN
Purdue University, West Lafayette, IN
85
Collaborators
Citations 
PageRank 
96
1932
170.13
Referers 
Referees 
References 
4186
2791
1354
Search Limit
1001000
Title
Citations
PageRank
Year
Exploring the Design of Energy-Efficient Intermittently Powered Systems Using Reconfigurable Ferroelectric Transistors00.342022
Special Session: Approximate TinyML Systems: Full System Approximations for Extreme Energy-Efficiency in Intelligent Edge Devices00.342021
IPS-CiM: Enhancing Energy Efficiency of Intermittently-Powered Systems with Compute-in-Memory00.342020
Embedding Approximate Nonlinear Model Predictive Control at Ultrahigh Speed and Extremely Low Power.20.442020
Approximate inference systems (AxIS): end-to-end approximations for energy-efficient inference at the edge00.342020
Approximate Memory Compression00.342020
Non-volatile Logic and Memory based on Reconfigurable Ferroelectric Transistors10.362019
WSe<inf>2</inf> based Valley-Coupled-Spintronic Devices for Low Power Non-Volatile Memories00.342019
A Quality-Configurable Approximate Serial Bus for Energy-Efficient Sensory Data Transfer.00.342018
D-PUF: An Intrinsically Reconfigurable DRAM PUF for Device Authentication and Random Number Generation.20.352018
SYNCVIBE: Fast and Secure Device Pairing through Physical Vibration on Commodity Smartphones00.342018
Approximating Beyond the Processor: Exploring Full-System Energy-Accuracy Tradeoffs in a Smart Camera System.30.462018
Memory-Based Combination PUFs for Device Authentication in Embedded Systems10.352018
Designing Energy-Efficient Intermittently Powered Systems Using Spin-Hall-Effect-Based Nonvolatile SRAM.40.512018
Dual Mode Ferroelectric Transistor based Non-Volatile Flip-Flops for Intermittently-Powered Systems30.422018
Quality Configurable Approximate DRAM.50.482017
Towards Full-System Energy-Accuracy Tradeoffs: A Case Study of An Approximate Smart Camera System.40.462017
Energy-Aware Memory Mapping for Hybrid FRAM-SRAM MCUs in Intermittently-Powered IoT Devices.50.432017
Synergistic Approximation of Computation and Memory Subsystems for Error-Resilient Applications.10.362017
Ultrafast Embedded Explicit Model Predictive Control For Nonlinear Systems00.342017
Design and Management of Battery-Supercapacitor Hybrid Electrical Energy Storage Systems for Regulation Services.10.402017
Energy-Efficient Reduce-and-Rank Using Input-Adaptive Approximations.30.402017
qLUT: Input-Aware Quantized Table Lookup for Energy-Efficient Approximate Accelerators.00.342017
TeleProbe: Zero-power Contactless Probing for Implantable Medical Devices.00.342016
Sleep-Mode Voltage Scaling: Enabling SRAM Data Retention at Ultra-Low Power in Embedded Microcontrollers.10.352016
Energy-efficient system design for IoT devices90.562016
Recap of the 2016 IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED 2016).00.342016
Energy-Aware Memory Mapping for Hybrid FRAM-SRAM MCUs in IoT Edge Devices.50.422016
CO-GPS: Energy Efficient GPS Sensing with Cloud Offloading.20.662016
Channel Assignment Techniques for Multi-Radio Wireless Mesh Networks: A Survey.30.552016
Making the internet-of-things a reality: from smart models, sensing and actuation to energy-efficient architectures.110.642016
D-PUF: an intrinsically reconfigurable DRAM PUF for device authentication in embedded systems.50.422016
QuickRecall: A HW/SW Approach for Computing across Power Cycles in Transiently Powered Computers.80.512015
iTCP: an intelligent TCP with neural network based end-to-end congestion control for ad-hoc multi-hop wireless mesh networks30.392015
SymCo: Symbiotic Coexistence of Single-hop and Multi-hop Transmissions in Next-generation Wireless Mesh Networks20.372015
Message from the program chairs00.342015
Quality-aware data allocation in approximate DRAM?120.542015
Quality configurable reduce-and-rank for energy efficient approximate computing70.562015
Vibration-based secure side channel for medical devices110.512015
SiAc: simultaneous activation of heterogeneous radios in high data rate multi-hop wireless networks20.382015
Powering the internet of things160.852014
When they are not listening: Harvesting power from idle sensors in embedded systems30.372014
Hypnos: An ultra-low power sleep mode with SRAM data retention for embedded microcontrollers!90.582014
QUICKRECALL: A Low Overhead HW/SW Approach for Enabling Computations across Power Cycles in Transiently Powered Computers501.792014
Design and management of hybrid electrical energy storage systems for regulation services20.402014
Backpacking: Energy-Efficient Deployment of Heterogeneous Radios in Multi-Radio High-Data-Rate Wireless Sensor Networks.80.532014
Multi-armed Bandit Congestion Control in Multi-hop Infrastructure Wireless Mesh Networks40.392012
Embedded Tutorial ET4: Advanced Techniques for Programming Networked Embedded Systems00.342012
A Cross-Layer Analytical Model to Estimate the Capacity of a WiMAX Network10.342012
SPI-SNOOPER: a hardware-software approach for transparent network monitoring in wireless sensor networks20.412012
  • 1
  • 2