Title
On-chip self-awareness using cyberphysical-systems-on-chip (CPSoC)
Abstract
We present CyberPhysical-Systems-on-Chip (CPSoC), a new class of sensor-actuator rich many-core computing platforms that intrinsically couples on-chip and cross-layer sensing and actuation to enable self-awareness. Unlike traditional MPSoC designs, CPSoC differs primarily on the co-design of the control, communication, and computing system that interacts with the physical environment in real-time in order to modify the system's behavior so as to adaptively achieve desired objectives and Quality-of-Service (QoS). The CPSoC design paradigm enables self-awareness (i.e., the ability of the system to observe its own internal and external behaviors such that it is capable of making judicious decision) and (opportunistic) adaptation using the concept of cross-layer physical and virtual sensing and actuations applied across different layers of the hardware/software system stack. The closed loop control used for adaptation to dynamic variation  commonly known as observer-decide-act (ODA) loop  is implemented using an adaptive, reflexive middleware layer. The learning abilities of CPSoC provide a unified interface API for sensor and actuator fusion along with the ability to improve autonomy in system management.
Year
DOI
Venue
2014
10.1145/2656075.2661648
CODES+ISSS
Keywords
Field
DocType
design,performance analysis and design aids,experimentation,interconnections,measurement,data communications devices,performance,cyber physical system,predictive models,aging,sensors,system on chip,computer architecture,quality of service,situation awareness
Middleware,Design paradigm,System on a chip,Computer science,Situation awareness,Software system,Real-time computing,Cyber-physical system,MPSoC,Actuator
Conference
Citations 
PageRank 
References 
9
0.56
7
Authors
5
Name
Order
Citations
PageRank
Santanu Sarma11108.46
Nikil Dutt24960421.49
Puneet Gupta390.56
Alexandru Nicolau42265307.74
Nalini Venkatasubramanian51426137.46