Title
Toward an Open-Source Digital Flow: First Learnings from the OpenROAD Project
Abstract
We describe the planned Alpha release of OpenROAD, an open-source end-to-end silicon compiler. OpenROAD will help realize the goal of "democratization of hardware design", by reducing cost, expertise, schedule and risk barriers that confront system designers today. The development of open-source, self-driving design tools is in and of itself a "moon shot" with numerous technical and cultural challenges. The open-source flow incorporates a compatible open-source set of tools that span logic synthesis, floorplanning, placement, clock tree synthesis, global routing and detailed routing. The flow also incorporates analysis and support tools for static timing analysis, parasitic extraction, power integrity analysis, and cloud deployment. We also note several observed challenges, or "lessons learned", with respect to development of open-source EDA tools and flows.
Year
DOI
Venue
2019
10.1145/3316781.3326334
Proceedings of the 56th Annual Design Automation Conference 2019
DocType
ISBN
Citations 
Conference
978-1-4503-6725-7
9
PageRank 
References 
Authors
0.63
0
21
Name
Order
Citations
PageRank
Tutu Ajayi1203.28
Vidya A. Chhabria2163.71
Mateus Fogaça3204.00
Soheil Hashemi4717.74
Abdelrahman Hosny591.99
Andrew B. Kahng67582859.06
minsoo kim7113.70
Jeongsup Lee8102.71
Uday Mallappa9142.09
Marina Neseem1090.63
Geraldo Pradipta1190.63
Sherief Reda12128392.25
Mehdi Saligane13102.34
Sachin Sapatnekar144074361.60
Carl Sechen15742115.87
Mohamed Shalan16212.99
William Swartz171038.77
Lutong Wang18287.52
Zhehong Wang19123.42
Mingyu Woo20154.86
Bangqi Xu21184.90