Title
Towards Provable Timing-Channel Prevention
Abstract
AbstractWe describe our ongoing research that aims to eliminate microarchitectural timing channels through time protection, which eliminates the root cause of these channels, competition for capacity-limited hardware resources. A proof-ofconcept implementation of time protection demonstrated the approach can be effective a nd l ow o verhead, b ut also that present hardware fails to support the approach in some aspects and that we need an improved hardXare-software contract to achieve real security. We have demonstrated that these mechanisms are not hard to provide, and are working on their inclusion in the RISC-V ISA. Assuming compliant hardware, we outline how we think we can then formally prove that timing channels are eliminated.
Year
DOI
Venue
2020
10.1145/3421473.3421475
SIGOPS
DocType
Volume
Issue
Journal
54
1
ISSN
Citations 
PageRank 
0163-5980
0
0.34
References 
Authors
0
3
Name
Order
Citations
PageRank
Gernot Heiser12525137.42
Toby Murray224217.03
Gerwin Klein3145087.47