Name
Affiliation
Papers
FARSHAD FIROUZI
Karlsruhe Institute of Technology (KIT), Karlsruhe, Germany
39
Collaborators
Citations 
PageRank 
76
275
20.28
Referers 
Referees 
References 
691
1299
563
Search Limit
1001000
Title
Citations
PageRank
Year
A Resilient and Hierarchical IoT-Based Solution for Stress Monitoring in Everyday Settings00.342022
AI-Driven Data Monetization: The Other Face of Data in IoT-Based Smart and Connected Health00.342022
The convergence of IoT and distributed ledger technologies (DLT): Opportunities, challenges, and solutions20.362021
Harnessing the Power of Smart and Connected Health to Tackle COVID-19: IoT, AI, Robotics, and Blockchain for a Better World00.342021
Towards IoT-enabled Multimodal Mental Stress Monitoring00.342020
Human Activity Recognition: From Sensors to Applications10.412020
Towards Safer Roads: A Deep Learning-Based Multimodal Fatigue Monitoring System00.342020
Towards fog-driven IoT eHealth: Promises and challenges of IoT in medicine and healthcare.612.112018
Keynote Paper: From EDA to IoT eHealth: Promises, Challenges, and Solutions.50.432018
Internet-of-Things and big data for smarter healthcare: From device to architecture, applications and analytics.140.762018
Guest Editorial: Alternative Computing and Machine Learning for Internet of Things.00.342017
On-Chip Droop-Induced Circuit Delay Prediction Based on Support-Vector Machines30.452016
Aging- and Variation-Aware Delay Monitoring Using Representative Critical Path Selection100.612015
Re-using BIST for circuit aging monitoring10.352015
Deadspace-aware Power/Ground TSV planning in 3D floorplanning00.342015
On-line prediction of NBTI-induced aging rates60.502015
Stress-aware P/G TSV planning in 3D-ICs10.352015
P/G TSV planning for IR-drop reduction in 3D-ICs30.372014
Adaptive Mitigation of Parameter Variations00.342014
On-chip voltage-droop prediction using support-vector machines100.622014
Chip Health Monitoring Using Machine Learning10.352014
Power-Aware Minimum NBTI Vector Selection Using a Linear Programming Approach150.612013
Negative Bias Temperature Instability-Aware Instruction Scheduling: A Cross-Layer Approach100.472013
Statistical analysis of BTI in the presence of process-induced voltage and temperature variations110.512013
Instruction-set extension under process variation and aging effects40.382013
Incorporating the impacts of workload-dependent runtime variations into timing analysis140.802013
Aging-Aware Timing Analysis Considering Combined Effects Of Nbti And Pbti170.842013
A layout-aware x-filling approach for dynamic power supply noise reduction in at-speed scan testing40.442013
Chip-level modeling and analysis of electrical masking of soft errors10.352013
NBTI mitigation by optimized NOP assignment and insertion200.862012
Adaptive fault-tolerant DVFS with dynamic online AVF prediction.40.382012
Reducing NBTI-induced processor wearout by exploiting the timing slack of instructions110.512012
Input and transistor reordering for NBTI and HCI reduction in complex CMOS gates60.492012
Modeling and estimation of power supply noise using linear programming70.532011
A linear programming approach for minimum NBTI vector selection40.452011
Dynamic Soft Error Hardening via Joint Body Biasing and Dynamic Voltage Scaling20.392011
An accurate model for soft error rate estimation considering dynamic voltage and frequency scaling effects150.802011
Reliability-Aware Dynamic Voltage and Frequency Scaling40.402010
Instruction reliability analysis for embedded processors80.682010