Name
Affiliation
Papers
MAGNUS JAHRE
Norwegian University of Science and Technology
41
Collaborators
Citations 
PageRank 
78
226
20.50
Referers 
Referees 
References 
848
925
423
Search Limit
100925
Title
Citations
PageRank
Year
Delegated Replies: Alleviating Network Clogging in Heterogeneous Architectures00.342022
LMT: Accurate and Resource-Scalable Slowdown Prediction00.342022
Modeling Periodic Energy-Harvesting Computing Systems00.342021
Fast And Accurate Edge Computing Energy Modeling And Dvfs Implementation In Gem5 Using System Call Emulation Mode00.342021
TIP: Time-Proportional Instruction Profiling00.342021
HSM: A Hybrid Slowdown Model for Multitasking GPUs40.472020
DCMI: A Scalable Strategy for Accelerating Iterative Stencil Loops on FPGAs00.342020
Selective Replication in Memory-Side GPU Caches00.342020
MDM: The GPU Memory Divergence Model10.352020
Scalability analysis of AVX-512 extensions00.342020
Modeling Emerging Memory-Divergent GPU Applications.10.362019
GDP: Using Dataflow Properties to Accurately Estimate Interference-Free Performance at Runtime00.342018
Get Out of the Valley: Power-Efficient Address Mapping for GPUs.30.362018
Supporting Utilities for Heterogeneous Embedded Image Processing Platforms (STHEM): An Overview.10.372018
Streamlined Deployment for Quantized Neural Networks.10.472017
Scaling Binarized Neural Networks on Reconfigurable Logic.100.562017
FINN: A Framework for Fast, Scalable Binarized Neural Network Inference.1434.872017
Extending OMPT to Support Grain Graphs.20.432017
The READEX formalism for automatic tuning for energy efficiency.60.492017
Towards Efficient Design Space Exploration of FPGA-based Accelerators for Streaming HPC Applications (Abstract Only).00.342017
DTP: Enabling Exhaustive Exploration of FPGA Temporal Partitions for Streaming HPC Applications.00.342017
TULIPP: Towards ubiquitous low-power image processing platforms10.372016
Random access schemes for efficient FPGA SpMV acceleration.10.342016
Tuning the victim selection policy of Intel TBB00.342015
A Vector Caching Scheme for Streaming FPGA SpMV Accelerators.20.392015
Hybrid breadth-first search on a single-chip FPGA-CPU heterogeneous platform100.602015
ParVec: vectorizing the PARSEC benchmark suite40.492015
An energy efficient column-major backend for FPGA SpMV accelerators50.452014
Optimized hardware for suboptimal software: The case for SIMD-aware benchmarks60.462014
Victim Selection Policies for Intel TBB: Overheads and Energy Footprint10.362014
Challenges Of Reducing Cycle-Accurate Simulation Time For Tbp Applications00.342013
On the energy footprint of task based parallel applications20.382013
A high performance adaptive miss handling architecture for chip multiprocessors40.402011
Storage Efficient Hardware Prefetching using Delta-Correlating Prediction Tables.40.422011
Exploring the prefetcher/memory controller design space: an opportunistic prefetch scheduling strategy00.342011
Computational Computer Architecture Research At Ntnu00.342010
DIEF: an accurate interference feedback mechanism for chip multiprocessor memory systems10.372010
Multi-level hardware prefetching using low complexity delta correlating prediction tables with partial matching30.462010
A light-weight fairness mechanism for chip multiprocessor memory systems50.422009
A Quantitative Study of Memory System Interference in Chip Multiprocessor Architectures30.422009
Low-cost open-page prefetch scheduling in chip multiprocessors20.392008