Name
Affiliation
Papers
JOSEP LLOSA
Departamento de Arquitectura de Computadores, Universidad Politécnica de Cataluña, Barcelona, Spain
43
Collaborators
Citations 
PageRank 
38
574
39.30
Referers 
Referees 
References 
829
541
755
Search Limit
100829
Title
Citations
PageRank
Year
CSMT: Simultaneous Multithreading for Clustered VLIW Processors10.362010
Thread Merging Schemes for Multithreaded Clustered VLIW Processors00.342009
Hybrid multithreading for VLIW processors20.402009
Power-Efficient Vliw Design Using Clustering And Widening10.442008
Merge Logic for Clustered Multithreaded VLIW Processors40.412007
Cluster-Level Simultaneous Multithreading For Vliw Processors40.422007
Silicon Compaction/Defragmentation for Partial Runtime Reconfiguration00.342007
Near-optimal padding for removing conflict misses80.632005
An accurate cost model for guiding data locality transformations30.412005
Software and hardware techniques to optimize register file utilization in VLIW architectures130.682004
Future ILP processors00.342004
Out-of-Order Commit Processors853.282004
A case for resource-conscious out-of-order processors: towards kilo-instruction in-flight processors40.602004
A fast and accurate framework to analyze and optimize cache memory behavior231.222004
Register Constrained Modulo Scheduling110.632004
High-performance and low-power VLIW cores for numerical computations10.362004
A case for resource-conscious out-of-order processors100.702003
Kilo-instruction Processors100.652003
Optimizing program locality through CMEs and GAs151.002003
Hierarchical Clustered Register File Organization for VLIW Processors100.702003
Near-optimal loop tiling by means of cache miss equations and genetic algorithms160.992002
Reduced code size modulo scheduling in the absence of hardware support60.582002
A comparative study of modulo scheduling techniques261.032002
Cost-Conscious Strategies to Increase Performance of Numerical Programs on Aggressive VLIW Architectures20.392001
MIRS: modulo scheduling with integrated register spilling90.552001
Lifetime-sensitive modulo scheduling in a production environment281.782001
Modulo scheduling with integrated register spilling for clustered VLIW architectures331.132001
Two-level hierarchical register file organization for VLIW processors473.582000
A Fast and Accurate Approach to Analyze Cache Memory Behavior (Research Note)80.732000
Improved spill code generation for software pipelined loops130.812000
Optimizing cache miss equations polyhedra30.532000
Impact on Performance of Fused Multiply-Add Units in Aggressive VLIW Architectures10.351999
Distributed Modulo Scheduling262.511999
Widening resources: a cost-effective technique for aggressive ILP architectures70.491998
Quantitative Evaluation of Register Pressure on Software Pipelined Loops120.871998
Resource widening versus replication: limits and performance-cost trade-off40.541998
Modulo Scheduling with Reduced Register Pressure90.891998
Partitioned schedules for clustered VLIW architectures100.931998
Allocating Lifetimes to Queues in Software Pipelined Architectures40.681997
Increasing memory bandwidth with wide buses: compiler, hardware and performance trade-offs50.481997
Heuristics for register-constrained software pipelining251.151996
Swing Modulo Scheduling: A Lifetime-Sensitive Approach663.111996
Using Sacks to Organize Registers in VLIW Machines91.301994