Name
Papers
Collaborators
LI-C. WANG
14
36
Citations 
PageRank 
Referers 
201
17.53
494
Referees 
References 
190
80
Search Limit
100494
Title
Citations
PageRank
Year
D2ENDIST: Dynamic and disjoint ENDIST-based layer-2 routing algorithm for cloud datacenters00.342012
Selecting the most relevant structural Fmax for system Fmax correlation100.622010
Refining Delay Test Methodology Using Knowledge of Asymmetric Transition Delay20.652008
Eighth International Workshop on Microprocessor Test and Verification (MTV 2007), Common Challenges and Solutions, 5-6 December 2007, Austin, Texas, USA171.632007
Simulation-based functional test justification using a decision-digram-based Boolean data miner10.362006
Sixth International Workshop on Microprocessor Test and Verification (MTV 2005), Common Challenges and Solutions, 3-4 November 2005, Austin, Texas, USA201.782005
Simulation-based target test generation techniques for improving the robustness of a software-based-self-test methodology.60.552005
Hazard-aware statistical timing simulation and its applications in screening frequency-dependent defects.60.532005
Guest Editors' Introduction: The Verification and Test of Complex Digital ICs00.342004
On Correlating Structural Tests with Functional Tests for Speed Binning of High Performance Design402.532004
Diagnosis-Based Post-Silicon Timing Validation Using Statistical Tools and Methodologies120.682003
Defect-Oriented Testing and Defective-Part-Level Prediction332.082001
REDO - Probabilistic Excitation and Deterministic Observation - First Commercial Experiment252.941999
On the decline of testing efficiency as fault coverage approaches 100%292.501995