Name
Affiliation
Papers
TSUYOSHI IWAGAKI
Hiroshima City Univ, Grad Sch Informat Sci, Asaminami Ku, 3-4-1 Ozuka Higashi, Hiroshima 7313194, Japan
22
Collaborators
Citations 
PageRank 
21
29
8.42
Referers 
Referees 
References 
65
262
172
Search Limit
100262
Title
Citations
PageRank
Year
An Empirical Approach to RTL Scan Path Design Focusing on Structural Interpretation in Logic Synthesis00.342019
Compact and Accurate Digital Filters Based on Stochastic Computing60.492019
State assignment for fault tolerant stochastic computing with linear finite state machines10.382017
Designing area-efficient controllers for multi-cycle transient fault tolerant systems20.432015
A practical approach for logic simplification based on fault acceptability for error tolerant application00.342015
Logic simplification by minterm complement for error tolerant application30.472015
A Transient Fault Tolerant Test Pattern Generator for On-line Built-in Self-Test00.342013
Utilizing Register Transfer Level False Paths For Circuit Optimization With A Logic Synthesis Tool00.342012
Flexible Test Scheduling For An Asynchronous On-Chip Interconnect Through Special Data Transfer00.342011
Backward-Data-Direction Clocking And Relevant Optimal Register Assignment In Datapath Synthesis10.392011
A Pseudo-Boolean Technique for Generating Compact Transition Tests with All-Output-Propagation Properties00.342010
Optimal Register Assignment With Minimum-Path Delay Compensation For Variation-Aware Datapaths00.342009
Safe clocking for the setup and hold timing constraints in datapath synthesis10.412009
Novel Register Sharing in Datapath for Structural Robustness against Delay Variation40.472008
Safe Clocking Register Assignment In Datapath Synthesis20.422008
Efficient path delay test generation based on stuck-at test generation using checker circuitry00.342007
Broadside Transition Test Generation For Partial Scan Circuits Through Stuck-At Test Generation00.342006
A Low Power Deterministic Test Using Scan Chain Disable Technique40.452006
Efficient Constraint Extraction for Template-Based Processor Self-Test Generation00.342005
Acceleration of Transition Test Generation for Acyclic Sequential Circuits Utilizing Constrained Combinational Stuck-At Test Generation30.412005
A Design Methodology to Realize Delay Testable Controllers Using State Transition Information10.362004
Reducibility of Sequential Test Generation to Combinational Test Generation for Several Delay Fault Models10.362003