Name
Affiliation
Papers
MONODEEP KAR
Georgia Inst Technol, Sch ECE, Atlanta, GA 30332 USA
32
Collaborators
Citations 
PageRank 
55
53
12.66
Referers 
Referees 
References 
125
479
156
Search Limit
100479
Title
Citations
PageRank
Year
Securing IoT Devices Using Dynamic Power Management: Machine Learning Approach00.342021
A 617-TOPS/W All-Digital Binary Neural Network Accelerator in 10-nm FinFET CMOS00.342021
Low-Clock-Power Digital Standard Cell IPs for High-Performance Graphics/AI Processors in 10nm CMOS00.342020
An Inductive Voltage Regulator With Overdrive Tracking Across Input Voltage in Cascoded Power Stage00.342020
A 4900- $\mu$ m 839-Mb/s Side-Channel Attack- Resistant AES-128 in 14-nm CMOS With Heterogeneous Sboxes, Linear Masked MixColumns, and Dual-Rail Key Addition.20.382020
25.9 Reconfigurable Transient Current-Mode Global Interconnect Circuits in 10nm CMOS for High-Performance Processors with Wide Voltage-Frequency Operating Range.00.342020
A Ray-Casting Accelerator in 10nm CMOS for Efficient 3D Scene Reconstruction in Edge Robotics and Augmented Reality Applications00.342020
Enhanced Power and Electromagnetic SCA Resistance of Encryption Engines via a Security-Aware Integrated All-Digital LDO20.402020
25.7 Time-Borrowing Fast Mux-D Scan Flip-Flop with On-Chip Timing/Power/VMIN Characterization Circuits in 10nm CMOS.00.342020
Mitigating Power Supply Glitch based Fault Attacks with Fast All-Digital Clock Modulation Circuit00.342019
A Microwatt-Class Always-On Sensor Fusion Engine Featuring Ultra-Low-Power AOI Clocked Circuits in 14nm CMOS10.372019
Energy Efficient and Side-Channel Secure Cryptographic Hardware for IoT-Edge Nodes30.372019
Extracting Side-Channel Leakage from Round Unrolled Implementations of Lightweight Ciphers00.342019
Multigated Carbon Nanotube Field Effect Transistors-Based Physically Unclonable Functions As Security Keys00.342019
Autotuning of Integrated Inductive Voltage Regulator Using On-Chip Delay Sensor to Tolerate Process and Passive Variations00.342019
A 54% Power-Saving Static Fully-Interruptible Single-Phase-Clocked Shared-Keeper Flip-Flop in 14nm CMOS00.342019
Reducing Power Side-Channel Information Leakage of AES Engines Using Fully Integrated Inductive Voltage Regulator.40.412018
Energy efficient and side-channel secure hardware architecture for lightweight cipher SIMON00.342018
Blindsight: Blinding EM Side-Channel Leakage using Built-In Fully Integrated Inductive Voltage Regulator.10.352018
Exploiting on-chip power management for side-channel security10.352018
Performance based tuning of an inductive integrated voltage regulator driving a digital core against process and passive variations00.342018
A case for low frequency single cycle multi hop NoCs for energy efficiency and high performance.10.352017
8.1 Improved power-side-channel-attack resistance of an AES-128 core via a security-aware integrated buck voltage regulator60.482017
An All-Digital Fully Integrated Inductive Buck Regulator With A 250-MHz Multi-Sampled Compensator and a Lightweight Auto-Tuner in 130-nm CMOS.50.762017
Reducing Side-Channel Leakage of Encryption Engines Using Integrated Low-Dropout Voltage Regulators.10.372017
What Does Ultra Low Power Requirements Mean For Side-Channel Secure Cryptography?10.352016
(Invited paper) energy delivery for self-powered IoT devices10.382016
An integrated inductive VR with a 250MHz all-digital multisampled compensator and on-chip auto-tuning of coefficients in 130nm CMOS.10.422016
Exploiting Fully Integrated Inductive Voltage Regulators to Improve Side Channel Resistance of Encryption Engines.90.672016
Exploring power attack protection of resource constrained encryption engines using integrated low-drop-out regulators60.512015
Impact of inductive integrated voltage regulator on the power attack vulnerability of encryption engines: A simulation study40.442014
Impact of process variation in inductive integrated voltage regulator on delay and power of digital circuits40.562014