Name
Affiliation
Papers
AVI ZIV
IBM Research - Haifa, Israel
50
Collaborators
Citations 
PageRank 
113
465
72.49
Referers 
Referees 
References 
794
540
352
Search Limit
100794
Title
Citations
PageRank
Year
Automatic Scalable System for the Coverage-Directed Generation (CDG) Problem00.342021
Using Deep Neural Networks And Derivative Free Optimization To Accelerate Coverage Closure00.342021
Late Breaking Results: Friends - Finding Related Interesting Events Via Neighbor Detection00.342020
Using DNNs and Smart Sampling for Coverage Closure Acceleration10.392020
ML for CAD - Where is the Treasure Hiding?00.342020
Using Machine Learning Clustering To Find Large Coverage Holes00.342020
Challenges and Solutions in Post-Silicon Validation of High-end Processors (Invited Tutorial)00.342019
Solving Constraint Satisfaction Problems Containing Vectors Of Unknown Size10.372017
Cost-effective analysis of post-silicon functional coverage events.30.402017
Post-Silicon Validation in the SoC Era: A Tutorial Introduction.90.672017
Probabilistic bug-masking analysis for post-silicon tests in microprocessor verification.10.362016
The Verification Cockpit - Creating the Dream Playground for Data Analytics over the Verification Process.20.432015
Verification of Transactional Memory in POWER890.442014
Enhancing Scenario Quality Using Quasi-Events.00.342014
Hybrid checking for microarchitectural validation of microprocessor designs on acceleration platforms10.362013
Approximating checkers for simulation acceleration30.402012
Generating instruction streams using abstract CSP50.472012
A novel approach for implementing microarchitectural verification plans in processor designs10.382012
Concurrent Generation of Concurrent Programs for Post-Silicon Validation50.422012
Checking architectural outputs instruction-by-instruction on acceleration platforms40.452012
Automatic boosting of cross-product coverage using Bayesian networks10.432011
Threadmill: A post-silicon exerciser for multi-threaded processors210.752011
Reverse coverage analysis10.372011
Learning microarchitectural behaviors to improve stimuli generation quality140.902011
A probabilistic analysis of coverage methods10.362011
Leveraging pre-silicon verification resources for the post-silicon validation of the IBM POWER7 processor110.672011
Bridging pre-silicon verification and post-silicon validation160.922010
Reaching coverage closure in post-silicon validation200.912010
Using Bayesian networks and virtual coverage to hit hard-to-reach events20.512009
Ensuring Functional Closure of a Multi-core SoC through Verification Planning, Implementation and Execution00.342008
Automatic Boosting of Cross-Product Coverage Using Bayesian Networks50.552008
A probabilistic alternative to regression suites30.462008
Hardware and Software, Verification and Testing, Second International Haifa Verification Conference, HVC 2006, Haifa, Israel, October 23-26, 2006. Revised Selected Papers141.602007
Verification coverage: when is enough enough00.342007
Using virtual coverage to hit hard-to-reach events30.442007
Scheduling-based test-case generation for verification of multimedia SoCs50.642006
Using linear programming techniques for scheduling-based random test-case generation10.372006
Panel: Functional coverage - is your design exposed?00.342005
Defining coverage views to improve functional coverage analysis80.662004
Probabilistic regression suites for functional verification50.542004
Probabilistic Alternative Regression Suites00.342004
Genesys-Pro: Innovations in Test Program Generation for Functional Processor Verification784.182004
Coverage directed test generation for functional verification using bayesian networks924.902003
Panel: What's the next 'big thing' in simulation-based verification?00.342003
Cross-Product Functional Coverage Measurement with Temporal Properties-Based Assertions110.932003
Hole analysis for functional coverage data261.892002
Analysis of Checkpointing Schemes with Task Duplication150.871998
User defined coverage—a tool supported methodology for design verification5937.051998
Design reliability—estimation through statistical analysis of bug discovery data61.391998
Placement and routing for a field programmable multi-chip module20.591994