Name
Affiliation
Papers
KUIYUAN YANG
Tsinghua University(Tsinghua University,Tsinghua Univ,Tsinghua Univ.),Beijing,China
42
Collaborators
Citations 
PageRank 
121
148
20.89
Referers 
Referees 
References 
507
558
193
Search Limit
100558
Title
Citations
PageRank
Year
F8Net: Fixed-Point 8-bit Only Multiplication for Network Quantization00.342022
MeNTT: A Compact and Efficient Processing-in-Memory Number Theoretic Transform (NTT) Accelerator00.342022
A Lossless and Modeling Attack-Resistant Strong PUF with <4E-8 Bit Error Rate00.342022
DCT-RAM: A Driver-Free Process-In-Memory 8T SRAM Macro with Multi-Bit Charge-Domain Computation and Time-Domain Quantization00.342022
CAMA: Energy and Memory Efficient Automata Processing in Content-Addressable Memories00.342022
Magnetoelectric backscatter communication for millimeter-sized wireless biomedical implants.00.342022
Multisite bio-stimulating implants magnetoelectrically powered and individually programmed by a single transmitter30.362021
CAP-RAM: A Charge-Domain In-Memory Computing 6T-SRAM for Accurate and Precision-Programmable CNN Inference60.492021
An Automatic Self-Checking And Healing Physically Unclonable Function (Puf) With < 3x10(-8) Bit Error Rate00.342021
MC2-RAM: an in-8T-SRAM computing macro featuring multi-bit charge-domain computing and ADC-reduction weight encoding10.412021
NPAS: A Compiler-aware Framework of Unified Network Pruning and Architecture Search for Beyond Real-Time Mobile Acceleration10.352021
MePLER: A 20.6-pJ Side-Channel-Aware In-Memory CDT Sampler00.342021
12.3 Exploring PUF-Controlled PA Spectral Regrowth for Physical-Layer Identification of IoT Nodes00.342021
A Self-Regulated and Reconfigurable CMOS Physically Unclonable Function Featuring Zero-Overhead Stabilization20.382020
MagNI: A Magnetoelectrically Powered and Controlled Wireless Neurostimulating Implant00.342020
AA-ResNet - Energy Efficient All-Analog ResNet Accelerator.00.342020
25.3 A 65nm Edge-Chasing Quantizer-Based Digital LDO Featuring 4.58ps-FoM and Side-Channel-Attack Resistance.00.342020
34.3 An 8.2mm3 Implantable Neurostimulator with Magnetoelectric Power and Data Transfer.00.342020
IoT2 - the Internet of Tiny Things - Realizing mm-Scale Sensors through 3D Die Stacking.00.342019
A 0.84pJ/cycle Wheatstone Bridge Based CMOS RC Oscillator with Reconfigurable Frequencies00.342019
iRazor: Current-Based Error Detection and Correction Scheme for PVT Variation in 40-nm ARM Cortex-R4 Processor.60.552018
A 28NM Integrated True Random Number Generator Harvesting Entropy from MRAM00.342018
Low-Power and Compact Analog-to-Digital Converter Using Spintronic Racetrack Memory Devices.40.462017
9.2 A 0.6nJ −0.22/+0.19°C inaccuracy temperature sensor using exponential subthreshold oscillation dependence40.952017
A 1.02nW PMOS-only, trim-free current reference with 282ppm/°C from −40°C to 120°C and 1.6% within-wafer inaccuracy00.342017
8.3 A 553F2 2-transistor amplifier-based Physically Unclonable Function (PUF) with 1.67% native instability.00.342017
Hardware Designs for Security in Ultra-Low-Power IoT Systems: An Overview and Survey.70.482017
11.2 A 1Mb embedded NOR flash memory with 39µW program power for mm-scale high-temperature sensor nodes20.412017
Exploiting the analog properties of digital circuits for malicious hardware30.432017
A 20-pW Discontinuous Switched-Capacitor Energy Harvester for Smart Sensor Applications.70.582017
8.8 iRazor: 3-transistor current-based error detection and correction in an ARM Cortex-R4 processor190.982016
A 114-pW PMOS-only, trim-free voltage reference with 0.26% within-wafer inaccuracy for nW systems.90.892016
A 66pW discontinuous switch-capacitor energy harvester for self-sustaining sensor applications10.372016
An All-Digital Edge Racing True Random Number Generator Robust Against PVT Variations.80.632016
8.5 A 60%-efficiency 20nW-500µW tri-output fully integrated power management unit with environmental adaptation and load-proportional biasing for IoT systems50.572016
A compact 446 Gbps/W AES accelerator for mobile SoC and IoT in 40nm.20.412016
A2: Analog Malicious Hardware311.562016
Racetrack Converter: A Low Power And Compact Data Converter Using Racetrack Spintronic Devices10.382015
14.2 A physically unclonable function with BER <10−8 for robust chip authentication using oscillator collapse in 40nm CMOS00.342015
15.4b incremental sigma-delta capacitance-to-digital converter with zoom-in 9b asynchronous SAR70.802014
16.3 A 23Mb/s 23pJ/b fully synthesized true-random-number generator in 28nm and 65nm CMOS181.662014
A transformer-based filtering technique to lower LC-oscillator phase noise.10.382012