Name
Affiliation
Papers
YVAIN THONNART
CEA-LETI, MINATEC, Grenoble, France
43
Collaborators
Citations 
PageRank 
180
349
32.39
Referers 
Referees 
References 
880
844
341
Search Limit
100880
Title
Citations
PageRank
Year
PROWAVES: Proactive Runtime Wavelength Selection for Energy-Efficient Photonic NoCs20.382021
IntAct: A 96-Core Processor With Six Chiplets 3D-Stacked on an Active Interposer With Distributed Interconnects and Integrated Power Management30.452021
SE2 - Going Remote - Challenges and Opportunities to Remote Learning, Work, and Collaboration.00.342021
POPSTAR - a Robust Modular Optical NoC Architecture for Chiplet-based 3D Integrated Systems.00.342020
2.3 A 220GOPS 96-Core Processor with 6 Chiplets 3D-Stacked on an Active Interposer Offering 0.6ns/mm Latency, 3Tb/s/mm<sup>2</sup> Inter-Chiplet Interconnects and 156mW/mm<sup>2</sup>@ 82%-Peak-Efficiency DC-DC Converters00.342020
19.2 A 110mK 295µW 28nm FDSOI CMOS Quantum Integrated Circuit with a 2.8GHz Excitation and nA Current Sensing of an On-Chip Double Quantum Dot.00.342020
WAVES: Wavelength Selection for Power-Efficient 2.5D-Integrated Photonic NoCs00.342019
Latency Improvement of an Industrial SoC System Interconnect using an Asynchronous NoC Backbone00.342019
A 10Gb/s Si-photonic transceiver with 150μW 120μs-lock-time digitally supervised analog microring wavelength stabilization for 1Tb/s/mm2 Die-to-Die Optical Networks.00.342018
A 4 × 4 × 2 Homogeneous Scalable 3D Network-on-Chip Circuit With 326 MFlit/s 0.66 pJ/b Robust and Fault Tolerant Asynchronous 3D Links.20.472017
10 Gbps, 560 fJ/b TIA and modulator driver for optical networks-on-chip in CMOS 65nm00.342016
8.1 a 4x4x2 homogeneous scalable 3d network-on-chip circuit with 326mflit/s 0.66pj/b robust and fault-tolerant asynchronous 3d links100.782016
Coherent and Incoherent Crosstalk Noise Analyses in Interchip/Intrachip Optical Interconnection Networks.60.532016
Efficiency Optimization of Silicon Photonic Links in 65-nm CMOS and 28-nm FDSOI Technology Nodes.70.582016
Coherent crosstalk noise analyses in ring-based optical interconnects70.512015
Complementary communication path for energy efficient on-chip optical interconnects00.342015
Two-phase protocol converters for 3D asynchronous 1-of-n data links30.492015
Fine-Grain Dvfs And Avfs Techniques For Complex Soc Design: An Overview Of Architectural Solutions Through Technology Nodes00.342015
3D advanced integration technology for heterogeneous systems40.562015
A Fine-Grain Variation-Aware Dynamic ${\rm Vdd}$-Hopping AVFS Architecture on a 32 nm GALS MPSoC.00.342014
27.1 A 460MHz at 397mV, 2.6GHz at 1.3V, 32b VLIW DSP, embedding F MAX tracking00.342014
Introduction to the special session on "Silicon photonic interconnects: an illusion or a realistic solution?".00.342014
Technology assessment of silicon interposers for manycore SoCs: Active, passive, or optical?30.392014
A fine grain variation-aware dynamic Vdd-hopping AVFS architecture on a 32nm GALS MPSoC00.342013
Ultra-wide voltage range designs in fully-depleted silicon-on-insulator FETs60.602013
An Iterative Computational Technique for Performance Evaluation of Networks-on-Chip100.522013
A Pseudo-Synchronous Implementation Flow for WCHB QDI Asynchronous Circuits201.082012
3D NoC using through silicon Via: An asynchronous implementation.60.442011
A fully-asynchronous low-power framework for GALS NoC integration606.512010
An analytical method for evaluating Network-on-Chip performance190.702010
A 477mW NoC-based digital baseband for MIMO 4G SDR.191.112010
Distributed Sequencing for Resource Sharing in Multi-applicative Heterogeneous NoC Platforms00.342010
A Communication and configuration controller for NoC based reconfigurable data flow architecture121.032009
An Open and Reconfigurable Platform for 4G Telecommunication: Concepts and Application221.452009
Power Reduction of Asynchronous Logic Circuits Using Activity Detection81.042009
Design and Implementation of a GALS Adapter for ANoC Based Architectures201.392009
An Asynchronous Power Aware and Adaptive NoC Based Circuit512.212009
Design-for-test approach of an asynchronous network-on-chip architecture and its associated test pattern generation and application70.852009
Automatic Power Regulation Based on an Asynchronous Activity Detection and its Application to ANOC Node Leakage Reduction.70.712008
A Design-for-Test Implementation of an Asynchronous Network-on-Chip Architecture and its Associated Test Pattern Generation and Application30.402008
Implementation of a Design-for-Test Architecture for Asynchronous Networks-on-Chip20.432007
Formal Verification of CHP Specifications with CADP Illustration on an Asynchronous Network-on-Chip200.982007
ASC, a SystemC Extension for Modeling Asynchronous Systems, and Its Application to an Asynchronous NoC100.742007