Name
Affiliation
Papers
MIRKO LOGHI
Politecnico di Torino
29
Collaborators
Citations 
PageRank 
41
218
17.83
Referers 
Referees 
References 
507
602
434
Search Limit
100602
Title
Citations
PageRank
Year
A Portable 3-D Imaging FMCW MIMO Radar Demonstrator With a $24\times 24$ Antenna Array for Medium-Range Applications.20.372018
Dynamic Indexing: Leakage-Aging Co-Optimization for Caches40.492014
Firefly-Inspired Synchronization of Sensor Networks with Variable Period Lengths.10.402013
Energy-optimal caches with guaranteed lifetime30.382012
Aging-aware caches with graceful degradation of performance10.372012
Application-specific memory partitioning for joint energy and lifetime optimization20.402012
Buffering of frequent accesses for reduced cache aging00.342011
Partitioned cache architectures for reduced NBTI-induced aging150.622011
Aging effects of leakage optimizations for caches90.642010
Dynamic indexing: concurrent leakage and aging optimization for caches160.772010
A cosimulation methodology for HW/SW validation and performance estimation100.762009
Energy-optimal synchronization primitives for single-chip multi-processors80.542009
Tag overflow buffering: reducing total memory energy by reduced-tag matching30.392009
On the energy efficiency of synchronization primitives for shared-memory single-chip multiprocessors50.582007
Architectural leakage-aware management of partitioned scratchpad memories80.572007
SystemC co-simulation for core-based embedded systems60.502007
Power macromodeling of MPSoC message passing primitives60.462007
Energy-Efficient Multiprocessor Systems-on-Chip for Embedded Computing: Exploring Programming Models and Their Architectural Support171.302007
Locality-driven architectural cache sub-banking for leakage energy reduction20.372007
Synchronization-driven dynamic speed scaling for MPSoCs10.402006
Cache coherence tradeoffs in shared-memory MPSoCs261.102006
ISS-centric modular HW/SW co-simulation100.902006
Tag Overflow Buffering: An Energy-Efficient Cache Architecture30.422005
Exploring the energy efficiency of cache coherence protocols in single-chip multi-processors140.822005
Exploring energy/performance tradeoffs in shared memory MPSoCs: snoop-based cache coherence vs. software solutions100.872005
Dynamic and formal verification of embedded systems: a comparative survey30.492005
Virtual Hardware Prototyping through Timed Hardware-Software Co-Simulation90.652005
Analyzing Power Consumption of Message Passing Primitives in a Single-Chip Multiprocessor30.422004
Cycle-accurate power analysis for multiprocessor systems-on-a-chip211.512004