Name
Affiliation
Papers
YOU WANG
Département Communications et ElectroniqueInstitut Mines-TélécomTélécom-ParisTechLTCI-CNRS-UMR 5141Paris CEDEX 1375634France
26
Collaborators
Citations 
PageRank 
57
29
9.66
Referers 
Referees 
References 
73
396
166
Search Limit
100396
Title
Citations
PageRank
Year
Spinsim: A Computer Architecture-Level Variation Aware Stt-Mram Performance Evaluation Framework00.342021
A Reconfigurable Arbiter Puf Based On Stt-Mram00.342021
Fully Single Event Double Node Upset Tolerant Design For Magnetic Random Access Memory00.342021
Spin-Orbit Torque Nonvolatile Flip-Flop Designs00.342021
A Modeling Attack Resilient Physical Unclonable Function Based on STT-MRAM00.342020
Compact Modeling And Analysis Of Voltage-Gated Spin-Orbit Torque Magnetic Tunnel Junction00.342020
Voltage-Controlled Magnetoelectric Memory Bit-cell Design With Assisted Body-bias in FD-SOI00.342019
Addressing Failure and Aging Degradation in MRAM/MeRAM-on-FDSOI Integration.00.342019
Process Variation-Resilient STT-MTJ based TRNG using Linear Correcting Codes00.342019
Pj-AxMTJ: Process-in-memory with Joint Magnetization Switching for Approximate Computing in Magnetic Tunnel Junction00.342019
Design Space Exploration of Magnetic Tunnel Junction based Stochastic Computing in Deep Learning.00.342018
A high-reliability and low-power computing-in-memory implementation within STT-MRAM.10.442018
MRAM-on-FDSOI Integration: A Bit-Cell Perspective00.342018
Robust Ultra-Low Power Non-Volatile Logic-in-Memory Circuits in FD-SOI Technology.80.532017
Energy Efficient Magnetic Tunnel Junction Based Hybrid LSI Using Multi-Threshold UTBB-FD-SOI Device.00.342017
Novel Pulsed-Latch Replacement in Non-Volatile Flip-Flop Core00.342017
Efficient reliability evaluation methodologies for combinational circuits.00.342016
A process-variation-resilient methodology of circuit design by using asymmetrical forward body bias in 28 nm FDSOI.00.342016
A novel circuit design of true random number generator using magnetic tunnel junction40.462016
Reliability analysis of hybrid spin transfer torque magnetic tunnel junction/CMOS majority voters.00.342016
Approximate computing in MOS/spintronic non-volatile full-adder30.382016
Cross-layer investigation of continuous-time sigma-delta modulator under aging effects.30.462015
Stochastic computation with Spin Torque Transfer Magnetic Tunnel Junction70.562015
Robust magnetic full-adder with voltage sensing 2T/2MTJ cell00.342015
Ultra wide voltage range consideration of reliability-aware STT magnetic flip-flop in 28 nm FDSOI technology.00.342015
Compact thermal modeling of spin transfer torque magnetic tunnel junction30.402015