Name
Papers
Collaborators
KWYRO LEE
49
95
Citations 
PageRank 
Referers 
265
70.73
789
Referees 
References 
527
143
Search Limit
100789
Title
Citations
PageRank
Year
A 2.5–32 Gb/s Gen 5-PCIe Receiver With Multi-Rate CDR Engine and Hybrid DFE00.342022
A Low-Reference Spur MDLL-Based Clock Multiplier and Derivation of Discrete-Time Noise Transfer Function for Phase Noise Analysis.00.342018
High-Resolution Synthesized Magnetic Field Focusing for RF Barcode Applications.00.342018
Corrections to "A Highly Linear 1 GHz 1.3 dB NF CMOS Low-Noise Amplifier With Complementary Transconductance Linearization".00.342015
A Highly Linear 1 GHz 1.3 dB NF CMOS Low-Noise Amplifier With Complementary Transconductance Linearization50.652014
12.5 2D Coded-aperture-based ultra-compact capacitive touch-screen controller with 40 reconfigurable channels60.902014
A 55dB SNR with 240Hz frame scan rate mutual capacitor 30×24 touch-screen panel read-out IC using code-division multiple sensing technique152.212013
Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than 40dBm.00.342012
A Broadband CMOS RF Front-End for Universal Tuners Supporting Multi-Standard Terrestrial and Cable Broadcasts.100.912012
Hardware-efficient non-decimation RF sampling receiver front-end with reconfigurable FIR filtering.00.342012
A 23.4 mW 68 dB Dynamic Range Low Band CMOS Hybrid Tracking Filter for ATSC Digital TV Tuner Adopting RC and Gm-C Topology60.602011
An isolator-less CMOS RF front-end for UHF mobile RFID reader40.962011
A Wideband CMOS Low Noise Amplifier Employing Noise and IM2 Distortion Cancellation for a Digital TV Tuner272.652009
Simple Design of Detector in the Presence of Frequency Offset for IEEE 802.15.4 LR-WPANs40.622009
Design methodology of baseband analog chain to maximize a spurious free dynamic range for ATSC terrestrial and cable digital TV tuner10.432008
Continuous Phase Modulation of F-QPSK-B Signals40.722007
Fast- Frequency Offset Cancellation Loop Using Low-IF Receiver and Fractional-N PLL70.642007
Pseudo Floating Point Representation For Non-Binary Turbo Decoder Extrinsic Information Memory Reduction00.342007
A 13-dB IIP3 Improved Low-Power CMOS RF Programmable Gain Amplifier Using Differential Circuit Transconductance Linearization for Various Terrestrial Mobile D-TV Applications717.382006
Low-Power 2.4ghz Cmos Frequency Synthesizer With Differentially Controlled Mos Varactors20.412006
Effects Of Gradual Enhancement For Receivers At Mobile Terminals In Different Locations With Greedy Scheduling10.472006
2.4GHz ZigBee radio architecture with fast frequency offset cancellation loop10.672006
Efficient probabilistic sphere decoding architecture00.342006
Cut-Off Rate Of Multiple Antenna Systems Over Frequency-Flat, Fast Fading Channels00.342006
Probabilistic List Sphere Decoding for LDPC-Coded MIMO-OFDM Systems00.342006
Capacitive-loaded interstitial antennas for perfect matching and desirable SAR distributions.00.342006
High-performance RF mixer and operational amplifier BiCMOS circuits using parasitic vertical bipolar transistor in CMOS technology81.722005
A 19-Mw 2.6-Mm(2) L1/L2 Dual-Band Cmos Gps Receiver273.452005
Resistance matrix in crosstalk modeling for multiconductor systems10.402004
A new measurement technique on inherent-ring-resonance frequency using ring filters00.342004
Low-power and area-efficient FIR filter implementation suitable for multiple taps70.972003
A simple four-terminal small-signal model of RF MOSFETs and its parameter extraction00.342003
An experimental coin-sized radio for extremely low-power WPAN (IEEE 802.15.4) application at 2.4 GHz449.332003
Reverse tracing of forward state metric in Log-Map and MAX-Log-MAP decoders30.502003
Highly parallel and energy-efficient exhaustive minimum distance search engine using hybrid digital/analog circuit techniques20.462001
H∞ tuning for task-space feedback control of robot with uncertain Jacobian matrix.00.342001
Reconfigurable and programmable minimum distance search engine for portable video compression systems00.342001
Orthogonal transpose-RAM cell array architecture with alternate bit-line to bit-line contact scheme00.342001
Low-Power 2D Motion Estimation Architecture with Complementary Embedded Memory Banks.00.342000
Low-power dynamic termination scheme using NMOS diode clamping00.341999
Implementation And Performance Analysis Of Programmable Test Beds For Real-Time Wireless W-Cdma00.341999
A design of the new FPGA with data path logic and run time block reconfiguration method10.351999
A Low-Power Minimum Distance 1d-Search Engine Using Hybrid Digital/Analog Circuit Techniques20.461999
An 8-Bit-Resolution, 360-Mu S Write Time Nonvolatile Analog Memory Based On Differentially Balanced Constant-Tunneling-Current Scheme (Dbcs)64.001998
An 8-bit-resolution, 360-μs write time nonvolatile analog memory based on differentially balanced constant-tunneling-current scheme (DBCS)10.761998
A one division per clock pipelined division architecture based on LAPR (lookahead of partial-remainder) for low-power ECC applications00.341997
A novel high-speed ring oscillator for multiphase clock generation using negative skewed delay scheme405.441997
Charge recycling differential logic (CRDL) for low power application194.611996
A comparative study on the various monolithic low noise amplifier circuit topologies for RF and microwave applications41.311996