Name
Affiliation
Papers
CHRISTIAN PILATO
Politecn Milan, Milan, Italy
65
Collaborators
Citations 
PageRank 
121
329
32.19
Referers 
Referees 
References 
833
1309
682
Search Limit
1001000
Title
Citations
PageRank
Year
HOLL: Program Synthesis for Higher Order Logic Locking10.372022
A Composable Design Space Exploration Framework to Optimize Behavioral Locking00.342022
ASSURE: RTL Locking Against an Untrusted Foundry10.352021
Cicero: A Domain-Specific Architecture For Efficient Regular Expression Matching10.352021
From Domain-Specific Languages to Memory-Optimized Accelerators for Fluid Dynamics00.342021
Automatic Generation of Heterogeneous SoC Architectures with Secure Communications00.342021
Agile SoC Development with Open ESP - Invited Paper.00.342020
CAD-Base: An Attack Vector into the Electronics Supply Chain20.372019
High-Level Synthesis Of Benevolent Trojans00.342019
TaintHLS: High-Level Synthesis For Dynamic Information Flow Tracking40.432019
Black-Hat High-Level Synthesis: Myth or Reality?10.352019
DarkMem: Fine-grained power management of local memories for accelerators in embedded systems.00.342018
Securing Hardware Accelerators: A New Challenge for High-Level Synthesis.00.342018
The Case for Polymorphic Registers in Dataflow Computing.10.362018
Enabling Automated Bug Detection for IP-Based Designs Using High-Level Synthesis.00.342018
Bridging the Gap Between Software and Hardware Designers Using High-Level Synthesis.00.342017
System-Level Optimization of Accelerator Local Memory for Heterogeneous Systems-on-Chip30.382017
Performance Estimation of Task Graphs Based on Path Profiling00.342016
Handling large data sets for high-performance embedded applications in heterogeneous systems-on-chip20.382016
Scala-Based Domain-Specific Language for Creating Accelerator-Based SoCs10.362016
An FPGA-based infrastructure for fine-grained DVFS analysis in high-performance embedded systems.60.482016
A Survey and Evaluation of FPGA High-Level Synthesis Tools742.962016
On the design of scalable and reusable accelerators for big data applications.30.382016
Editorial: Special Issue on Innovative Design Methods for Smart Embedded Systems40.412016
Effective Reconfigurable Design: The FASTER Approach.00.342014
PaRA-Sched: A Reconfiguration-Aware Scheduler for Reconfigurable Architectures80.622014
A SystemC-based framework for the simulation of appliances networks in energy-aware smart spaces30.422014
Adaptive Raytracing Implementation Using Partial Dynamic Reconfiguration00.342014
A design methodology for compositional high-level synthesis of communication-centric SoCs80.502014
Runtime adaptation on dataflow HPC platforms60.662013
A Framework For Effective Exploitation Of Partial Reconfiguration In Dataflow Computing00.342013
Bambu: A Modular Framework For The High Level Synthesis Of Memory-Intensive Applications120.672013
Ant Colony Optimization for mapping, scheduling and placing in reconfigurable systems20.372013
A Simulation-Based Framework for the Exploration of Mapping Solutions on Heterogeneous MPSoCs.20.362013
SMASH: A heuristic methodology for designing partially reconfigurable MPSoCs20.462013
Dataflow Computing With Polymorphic Registers00.342013
D-Recs: A Complete Methodology To Implement Self Dynamic Reconfigurable Fpga-Based Systems10.342013
A2B: An integrated framework for designing heterogeneous and reconfigurable systems20.432013
A Flexible Interconnection Structure for Reconfigurable FPGA Dataflow Applications00.342013
On the automatic integration of hardware accelerators into FPGA-based embedded systems70.592012
On the Development of a Runtime Reconfigurable Multicore System-on-Chip30.432012
Novel Design Methods and a Tool Flow for Unleashing Dynamic Reconfiguration10.352012
TaBit: A framework for task graph to bitstream generation00.342012
Automatic run-time manager generation for reconfigurable MPSoC architectures70.582012
An open-source design and validation platform for reconfigurable systems30.482012
FASTER: Facilitating Analysis and Synthesis Technologies for Effective Reconfiguration60.482012
Smart technologies for effective reconfiguration: The FASTER approach40.412012
Evaluating Static CMOS Complex Cells in Technology Mapping.00.342011
A runtime adaptive controller for supporting hardware components with variable latency100.882011
SYNAPTIC Project: Regularity Applied to Enhance Manufacturability and Yield at Several Abstraction Levels.00.342011
  • 1
  • 2