Name
Papers
Collaborators
ANDREA MARONGIU
89
102
Citations 
PageRank 
Referers 
337
39.19
675
Referees 
References 
2039
1020
Search Limit
1001000
Title
Citations
PageRank
Year
HePREM: A Predictable Execution Model for GPU-based Heterogeneous SoCs00.342021
A RISC-V-based FPGA Overlay to Simplify Embedded Accelerator Deployment00.342021
A Synergistic Approach to Predictable Compilation and Scheduling on Commodity Multi-Cores00.342020
Mixed-data-model heterogeneous compilation and OpenMP offloading00.342020
Evaluating Controlled Memory Request Injection to Counter PREM Memory Underutilization.00.342020
FlexFloat: A Software Library for Transprecision Computing30.422020
Dissecting the CUDA scheduling hierarchy: a Performance and Predictability Perspective10.352020
Combining PREM Compilation and Static Scheduling for High-Performance and Predictable MPSoC Execution00.342019
Extending the Lifetime of Nano-Blimps via Dynamic Motor Control30.662019
Taming Data Caches For Predictable Execution On Gpu-Based Socs00.342019
Exploring Shared Virtual Memory for FPGA Accelerators with a Configurable IOMMU00.342019
Design And Evaluation Of Smallfloat Simd Extensions To The Risc-V Isa00.342019
The Quest for Energy-Efficient I$ Design in Ultra-Low-Power Clustered Many-Cores.10.352018
Hardware Transactional Memory Exploration in Coherence-Free Many-Core Architectures.00.342018
On the Cost of Freedom From Interference in Heterogeneous SoCs.00.342018
Combining PREM compilation and ILP scheduling for high-performance and predictable MPSoC execution.30.382018
Synergistic HW/SW Approximation Techniques for Ultralow-Power Parallel Computing.10.352018
Guest Editorial Energy-Quality Scalable Circuits and Systems for Sensing and Computing: From Approximate to Communication-Inspired and Learning-Based.00.342018
HePREM: Enabling predictable GPU execution on heterogeneous SoC20.412018
Runtime Support for Multiple Offload-Based Programming Models on Clustered Manycore Accelerators.10.382018
Scalable and Efficient Virtual Memory Sharing in Heterogeneous SoCs with TLB Prefetching and MMU-Aware DMA Engine00.342018
Optimizing memory bandwidth exploitation for OpenVX applications on embedded many-core accelerators.20.382018
A Transprecision Floating-Point Platform For Ultra-Low Power Computing10.352018
HERO: an open-source research platform for HW/SW exploration of heterogeneous manycore systems00.342018
Energy-Quality Scalable Integrated Circuits and Systems: Continuing Energy Scaling in the Twilight of Moore's Law.30.382018
Unleashing Fine-Grained Parallelism on Embedded Many-Core Accelerators with Lightweight OpenMP Tasking.30.412018
Enabling zero-copy OpenMP offloading on the PULP many-core accelerator10.382017
Lightweight Virtual Memory Support for Zero-Copy Sharing of Pointer-Rich Data Structures in Heterogeneous Embedded SoCs.40.462017
Edge-TM: Exploiting Transactional Memory for Error Tolerance and Energy Efficiency.130.592017
On the Accuracy of Near-Optimal CPU-Based Path Planning for UAVs.00.342017
Efficient Virtual Memory Sharing via On-Accelerator Page Table Walking in Heterogeneous Embedded SoCs.20.402017
Ultra low-power visual odometry for nano-scale unmanned aerial vehicles.40.432017
GPUguard: Towards supporting a predictable execution model for heterogeneous SoC.70.572017
HERO: Heterogeneous Embedded Research Platform for Exploring RISC-V Manycore Accelerators on FPGA.10.902017
Controlling NUMA effects in embedded manycore applications with lightweight nested parallelism support.20.402016
VirtualSoC: A Research Tool for Modern MPSoCs.30.412016
Always-on motion detection with application-level error control on a near-threshold approximate computing platform.00.342016
Exploring Single Source Shortest Path Parallelization on Shared Memory Accelerators.00.342016
An Optimized Task-Based Runtime System For Resource-Constrained Parallel Accelerators00.342016
Thrifty-malloc: A HW/SW codesign for the dynamic management of hardware transactional memory in embedded multicore systems.10.392016
Enabling The Heterogeneous Accelerator Model On Ultra-Low Power Microcontroller Platforms30.382016
Enabling OpenVX support in mW-scale parallel accelerators.10.362016
He-P2012: Performance and Energy Exploration of Architecturally Heterogeneous Many-Cores.10.352016
An energy-efficient parallel algorithm for real-time near-optimal UAV path planning.40.502016
Architecture Support for Tightly-Coupled Multi-Core Clusters with Shared-Memory HW Accelerators10.352015
OpenMP and timing predictability: a possible union?140.622015
A framework for optimizing OpenVX applications performance on embedded manycore accelerators10.352015
Synergistic Architecture and Programming Model Support for Approximate Micropower Computing00.342015
Enabling Scalable and Fine-Grained Nested Parallelism on Embedded Many-cores00.342015
Task scheduling strategies to mitigate hardware variability in embedded shared memory clusters50.382015
  • 1
  • 2