Name
Affiliation
Papers
R. IRIS BAHAR
Brown Univ, Div Engn, Providence, RI 02912 USA
88
Collaborators
Citations 
PageRank 
135
878
84.31
Referers 
Referees 
References 
1846
1696
943
Search Limit
1001000
Title
Citations
PageRank
Year
Towards Hardware Accelerated Garbage Collection with Near-Memory Processing00.342022
HybriDS: Cache-Conscious Concurrent Data Structures for Near-Memory Processing Architectures10.362022
Automated High-Level Generation of Low-Power Approximate Computing Circuits50.442019
Energy-efficient and Sustainable Computing across the Hardware/Software Stack00.342019
Barrier Synchronization vs. Voltage Noise: A Quantitative Analysis00.342019
Conference Reports: Recap of the 37th Edition of the International Conference on Computer-Aided Design (ICCAD 2018).00.342019
Attacking memory-hard scrypt with near-data-processing00.342019
Robust object estimation using generative-discriminative inference for secure robotics applications00.342018
Hardware Transactional Memory Exploration in Coherence-Free Many-Core Architectures.00.342018
Conference Reports: Report on the 2017 International Conference on Computer-Aided Design (ICCAD).00.342018
Towards The Simulation Based Design And Validation Of Mobile Robotic Cyber-Physical Systems00.342018
A Sub-Threshold Noise Transient Simulator Based on Integrated Random Telegraph and Thermal Noise Modeling.00.342018
Hardware-Software Codesign of Accurate, Multiplier-free Deep Neural Networks.20.382017
Comprehensive comparison of gradient-based cross-spectral stereo matching generated disparity maps00.342017
Edge-TM: Exploiting Transactional Memory for Error Tolerance and Energy Efficiency.130.592017
Evaluating critical bits in arithmetic operations due to timing violations10.352017
Understanding the Impact of Precision Quantization on the Accuracy and Energy of Neural Networks.100.522017
A Research Tool for the Power and Performance Analysis of Sensor-Based Mobile Robots10.352017
Hardware acceleration of feature detection and description algorithms on low-power embedded platforms50.462016
A fast simulator for the analysis of sub-threshold thermal noise transients10.362016
Runtime Configurable Deep Neural Networks for Energy-Accuracy Trade-off.80.632016
Using Existing Reconfigurable Logic in 3D Die Stacks for Test00.342016
A low-power dynamic divider for approximate applications.60.502016
Thrifty-malloc: A HW/SW codesign for the dynamic management of hardware transactional memory in embedded multicore systems.10.392016
Design of Error-Resilient Logic Gates with Reinforcement Using Implications.20.382016
Introduction to the Special Issue on Reliable, Resilient, and Robust Design of Circuits and Systems00.342015
A Simulation Framework for Analyzing Transient Effects Due to Thermal Noise in Sub-Threshold Circuits20.382015
DRUM: A Dynamic Range Unbiased Multiplier for Approximate Applications291.242015
Energy-Efficient and High-Performance Lock Speculation Hardware for Embedded Multicore Systems30.412015
Playing with Fire: Transactional Memory Revisited for Error-Resilient and Energy-Efficient MPSoC Execution40.432015
Fast Design Exploration for Performance, Power and Accuracy Tradeoffs in FPGA-Based Accelerators40.442014
ABACUS: a technique for automated behavioral synthesis of approximate computing circuits411.452014
Transparent and energy-efficient speculation on NUMA architectures for embedded MPSoCs00.342013
“Scaling” the impact of EDA education Preliminary findings from the CCC workshop series on extreme scale design automation20.442013
Flexible data allocation for scratch-pad memories to reduce NBTI effects20.362013
A noise-immune sub-threshold circuit design based on selective use of Schmitt-trigger logic40.542012
NBTI-Aware Data Allocation Strategies for Scratchpad Based Embedded Systems40.442012
Fast Multi-Objective Algorithmic Design Co-Exploration for FPGA-based Accelerators30.442012
Using implications to choose tests through suspect fault identification40.392012
High Performance Parallel JPEG2000 Streaming Decoder Using GPGPU-CPU Heterogeneous System40.522012
Test Vector Generation for Post-Silicon Delay Testing Using SAT-Based Decision Problems60.422011
Enhancing Online Error Detection Through Area-Efficient Multi-Site Implications70.512011
A novel parallel Tier-1 coder for JPEG2000 using GPUs90.682011
Dynamic Test Set Selection Using Implication-Based On-Chip Diagnosis20.372011
SoC-TM: integrated HW/SW support for transactional memory programming on embedded MPSoCs80.522011
Temperature-Insensitive Dual- Synthesis for Nanometer CMOS Technologies Under Inverse Temperature Dependence10.362010
Dual-Vt assignment policies in ITD-aware synthesis00.342010
Proceedings of the 20th ACM Great Lakes Symposium on VLSI 2009, Providence, Rhode Island, USA, May 16-18 2010906.552010
Improving the testability and reliability of sequential circuits with invariant logic10.352010
Numerical queue solution of thermal noise-induced soft errors in subthreshold CMOS devices00.342010
  • 1
  • 2