Name
Affiliation
Papers
YUNG-FA CHOU
Department of Electrical Engineering National Tsing|Hua University
41
Collaborators
Citations 
PageRank 
110
244
23.76
Referers 
Referees 
References 
486
787
342
Search Limit
100787
Title
Citations
PageRank
Year
Refresh Power Reduction of DRAMs in DNN Systems Using Hybrid Voting and ECC Method00.342020
RRAM-Based Neuromorphic Hardware Reliability Improvement by Self-Healing and Error Correction10.372018
A channel-sharable built-in self-test scheme for multi-channel DRAMs.00.342018
Software-hardware-cooperated built-in self-test scheme for channel-based DRAMs10.382017
On Tolerating Faults of TSV/Microbumps for Power Delivery Networks in 3D IC10.362017
Hierarchical Test Integration Methodology for 3D ICs00.342015
Temperature-aware online testing of power-delivery TSVs00.342015
A computer designed half Gb 16-channel 819Gb/s high-bandwidth and 10ns low-latency DRAM for 3D stacked memory devices using TSVs10.362015
A hybrid built-in self-test scheme for DRAMs30.402015
BIST-Assisted Tuning Scheme for Minimizing IO-Channel Power of TSV-Based 3D DRAMs00.342014
A power delivery network (PDN) engineering change order (ECO) approach for repairing IR-drop failures after the routing stage40.432014
Application-Independent Testing of 3-D Field Programmable Gate Array Interconnect Faults30.442014
Intra-channel Reconfigurable Interface for TSV and Micro Bump Fault Tolerance in 3-D RAMs10.352014
In-situ method for TSV delay testing and characterization using input sensitivity analysis120.752013
Die-To-Die Clock Synchronization For 3-D Ic Using Dual Locking Mechanism00.342013
Parametric Delay Test of Post-Bond Through-Silicon Vias in 3-D ICs via Variable Output Thresholding Analysis130.802013
Worst-case IR-drop monitoring with 1GHz sampling rate10.382013
Enabling inter-die co-optimization in 3-D IC with TSVs00.342013
An FPGA-based test platform for analyzing data retention time distribution of DRAMs70.502013
A hybrid ECC and redundancy technique for reducing refresh power of DRAMs00.342013
Reactivation of Spares for Off-Chip Memory Repair After Die Stacking in a 3-D IC With TSVs.50.502013
I-LUTSim: An iterative look-up table based thermal simulator for 3-D ICs20.412013
An MCT-Based Bit-Weight Extraction Technique for Embedded SAR ADC Testing and Calibration.30.582012
A built-in self-test scheme for 3D RAMs10.372012
Small delay testing for TSVs in 3-D ICs190.832012
3-D centric technology and realization with TSV00.342012
A self-testing and calibration method for embedded successive approximation register ADC50.562011
A built-in self-test scheme for the post-bond test of TSVs in 3D ICs311.452011
A Pre- and Post-bond Self-Testing and Calibration Methodology for SAR ADC Array in 3-D CMOS Imager20.442011
Yield Enhancement by Bad-Die Recycling and Stacking With Though-Silicon Vias120.722011
Performance Characterization of TSV in 3D IC via Sensitivity Analysis341.712010
A Test Integration Methodology for 3D Integrated Circuits90.662010
CAD reference flow for 3D via-last integrated circuits50.642010
Flash Memory Testing and Built-In Self-Diagnosis With March-Like Test Algorithms161.182007
SRAM cell current in low leakage design10.402006
FlexiVia ROM Compiler Programmable on Different Via Layers Based on Top Metal Assignment00.342006
SRAM delay fault modeling and test algorithm development40.482004
Defect oriented fault analysis for SRAM110.782003
FAME: A Fault-Pattern Based Memory Failure Analysis Framework80.622003
Flash memory built-in self-test using March-like algorithms251.672002
etection of SRAM cell stability by lowering array supply voltage30.872000